ASML2022年年度报告
|
战略报告 | 治理 | 金融 |
2
|
更小的尺寸,更大的能力是一个公认的趋势,在芯片行业。
由于我们的39000名员工与供应商、客户和创新合作伙伴共同努力,我们正在进一步推动这一进程。
我们每天都在突破物理学的界限,缩小模式,帮助塑造地球上未来的生活、工作和娱乐。我们牢固地融入全球创新生态系统,支持突破性技术,通过促进智能生活和移动、无障碍医疗保健、粮食安全和向Renewable能源过渡,帮助人类应对挑战并抓住机遇。
|
|||||
创造小的模式,使一个大的影响。 |
ASML2022年年度报告
|
内容 | 战略报告 | 治理 | 金融 |
3
|
|||||||||||||||
内容 |
战略报告 | ||||||||||||||||||||||||||
前瞻性陈述 | Environmental | |||||||||||||||||||||||||
首席执行官的信息 | 能源效率和气候行动 | |||||||||||||||||||||||||
我们公司 | 循环经济 | |||||||||||||||||||||||||
与CTO的问答 | 社会 | |||||||||||||||||||||||||
市场 | 对所有人都有吸引力的工作场所 | |||||||||||||||||||||||||
我们的业务和ESG战略 | 我们的供应链 | |||||||||||||||||||||||||
我们的商业模式 | 创新生态系统 | |||||||||||||||||||||||||
与CFO的问答 | 我们社区的重要合作伙伴 | |||||||||||||||||||||||||
财务业绩 | 治理 | |||||||||||||||||||||||||
业绩关键绩效指标 | 管理ESG可持续发展 | |||||||||||||||||||||||||
长期增长机会 | 负责任的企业 | |||||||||||||||||||||||||
风险 | 我们的税收方法 | |||||||||||||||||||||||||
我们如何管理风险 | ||||||||||||||||||||||||||
风险因素 | 我们的故事 | |||||||||||||||||||||||||
环境、社会和治理 | 解决污染问题 | |||||||||||||||||||||||||
全球福祉 | ||||||||||||||||||||||||||
ESG概览 | 粮食安全 | |||||||||||||||||||||||||
我们关于ESG可持续发展的重要议题 | 能源转型 | |||||||||||||||||||||||||
智能移动 | ||||||||||||||||||||||||||
虚拟现实和增强现实 | ||||||||||||||||||||||||||
可穿戴技术 | ||||||||||||||||||||||||||
公司治理 | ||||||||
公司治理 | ||||||||
管理委员会 | ||||||||
监事会 | ||||||||
与委员会有关的其他事项 | ||||||||
股东周年大会和股本 | ||||||||
财务报告和审计 | ||||||||
遵守公司治理要求 | ||||||||
监事会报告 | ||||||||
监事会主席致辞 | ||||||||
2022年监事会工作重点 | ||||||||
会议和出席情况 | ||||||||
监事会委员会 | ||||||||
财务报表和利润分配 | ||||||||
薪酬报告 | ||||||||
薪酬委员会主席致辞 | ||||||||
薪酬一览表 | ||||||||
薪酬委员会 | ||||||||
管理委员会薪酬 | ||||||||
监事会薪酬 | ||||||||
金融和非金融 | ||||||||
合并财务报表 | ||||||||
独立注册会计师事务所的报告 | ||||||||
综合业务报表 | ||||||||
综合收益表 | ||||||||
合并资产负债表 | ||||||||
合并股东权益报表 | ||||||||
合并现金流量表 | ||||||||
合并财务报表附注 | ||||||||
非财务报表 | ||||||||
独立审计员的鉴证报告 | ||||||||
关于非财务信息 | ||||||||
非财务指标 | ||||||||
其他附录 | ||||||||
定义 | ||||||||
附件指数 | ||||||||
ASML2022年年度报告
|
前瞻性发言 | 战略报告 | 治理 | 金融 |
4
|
|||||||||||||||
关于前瞻性陈述的特别说明 |
ASML2022年年度报告
|
首席执行官的信息 | 战略报告 | 治理 | 金融 |
5
|
|||||||||||||||
在充满挑战的一年里取得创纪录的业绩 | ||||||||||||||||||||
2022年的净预订量达到创纪录水平,一个充满新产品和服务的创新管道 以及我们有才华、充满活力和积极参与的人,我们满怀信心地面对未来。 |
|
||
我们的投资将增加产能。” | ||
彼得·温宁克 | ||
总裁、首席执行官兼管理委员会主席 |
ASML2022年年度报告
|
首席执行官的信息 继续
|
战略报告 | 治理 | 金融 |
6
|
|||||||||||||||
在充满挑战的一年中创纪录的业绩(续) |
|
||
|
||
我们的ESG战略是照亮未来道路的重要灯塔。” | ||
彼得·温宁克 | ||
总裁、首席执行官兼管理委员会主席 |
ASML2022年年度报告
|
首席执行官的信息 继续
|
战略报告 | 治理 | 金融 |
7
|
|||||||||||||||
在充满挑战的一年中创纪录的业绩(续) |
|
||
通过挑战、协作和关怀,我们将在满足客户需求、在正确的时间提供正确的技术方面发挥主导作用。” | ||
彼得·温宁克 | ||
总裁、首席执行官兼管理委员会主席 |
ASML2022年年度报告
|
小模式。影响很大。 | 战略报告 | 治理 | 金融 |
8
|
解决污染问题 | |||||||||||
纳米创新,宏观挑战 | |||||||||||
我们的光刻解决方案不仅有助于缩小芯片尺寸——它们还能提高性能和能效。这为纳米创新打开了大门,比如‘有翼的微芯片’——其灵感来自于种子通过空气传播的方式,这些超小型化的电子设备可以随风追踪空气污染、空气传播的疾病和环境污染。 | |||||||||||
在线阅读更多 |
ASML2022年年度报告
|
我们公司 | 战略报告 | 治理 | 金融 |
9
|
|||||||||||||||
一目了然 | ||||||||||||||||||||
作为全球芯片行业的创新领导者,我们为芯片制造商提供硬件、软件和服务,以通过光刻技术在硅上批量生产图案。 | ||||||||||||||||||||
我们在2020年收购的Berliner Glas(ASML Berlin GmbH)在本报告全文中都反映为我们业务的一部分,但非财务报告除外。 |
主要产品和服务 | ||||||||||||||
光刻系统 | ||||||||||||||
极紫外(EUV)。我们是世界上唯一的EUV设备制造商,这是最先进的系统,能够以更高的密度打印更小的特征。
|
深紫外线(DUV)。作为半导体行业的主力军,DUV生产当今客户设备中的大部分层,并将继续对未来设备发挥重要作用。 | |||||||||||||
计量和 检查系统 |
计算 光刻 |
|||||||||||||
这些系统利用光学和电子光束技术,使芯片制造商能够评估其在整个芯片制造过程中的性能,帮助提高精度、性能和质量控制。
|
这一过程被用于开发新的芯片,以优化模板设计,并实现更精确的监测和控制。
|
|||||||||||||
Software | ||||||||||||||
光刻工艺和控制软件解决方案。 | ||||||||||||||
翻修 | 客户支持 | |||||||||||||
我们用几十年而不是几年来衡量一台机器的寿命。我们翻新和升级我们的旧的光刻系统,以延长它们的寿命,我们提供相关的服务。
|
我们为客户提供广泛的应用、服务、技术支持产品和升级,以确保我们的设备在他们的生产过程中可靠地工作。
|
我们的全球存在 | |||||||||||||||||
|
亚洲 | ||||||||||||||||
中国 | |||||||||||||||||
香港 | |||||||||||||||||
日本 | |||||||||||||||||
韩国 | |||||||||||||||||
马来西亚 | |||||||||||||||||
新加坡 | |||||||||||||||||
台湾 | |||||||||||||||||
|
北美洲 | ||||||||||||||||
亚利桑那州 |
俄勒冈州
|
||||||||||||||||
加州 | 德州 | ||||||||||||||||
科罗拉多州
|
犹他州 | ||||||||||||||||
康涅狄格 |
弗吉尼亚
|
||||||||||||||||
爱达荷州
|
|||||||||||||||||
麻萨诸塞州 | |||||||||||||||||
新墨西哥州 | |||||||||||||||||
纽约 | |||||||||||||||||
欧洲、中东和非洲 | |||||||||||||||||
比利时 | |||||||||||||||||
法国 | |||||||||||||||||
德国 | |||||||||||||||||
爱尔兰 | |||||||||||||||||
以色列 | |||||||||||||||||
意大利 | |||||||||||||||||
荷兰 | |||||||||||||||||
联合王国 |
ASML2022年年度报告
|
我们公司继续
|
战略报告 | 治理 | 金融 |
10
|
|||||||||||||||
是什么让我们ASML |
我们的目的 | ||||||||
为什么我们存在 | ||||||||
释放人和社会的潜力 通过将技术推向新的极限 |
||||||||
多年来,社会取得了巨大的进步,但世界仍然 面临着未来的关键挑战。我们必须改变我们对影响每个人的主题的思考和行动方式。这就是为什么我们寻求创新,至少与我们的客户一样,集中我们的智慧和资源,不断寻找新的方法,帮助改善社会在能源使用,气候变化,流动性,医疗保健,教育和营养等领域。 |
我们的愿景 | ||||||||
我们努力实现的目标 | ||||||||
我们使突破性的技术能够解决人类面临的一些最严峻的挑战 | ||||||||
在ASML,我们认为微芯片行业处于独特的地位,可以 帮助应对这些挑战。从人工智能(AI)到庞大的互联网 在事物(物联网)中,微芯片是现代技术的核心,它能够实现向可持续能源的过渡,改善全球健康状况,提高交通运输的安全性和效率,解决污染问题,弥合数字鸿沟,或者在不耗尽地球资源的情况下为近80亿人提供食物。 |
我们的使命 | ||||||||
我们独特的做法 | ||||||||
与我们的合作伙伴一起,我们提供领先的图案化解决方案,推动微芯片的进步 | ||||||||
半导体产业的长期增长是基于这样一个原理,即电子计算所需的能源、成本和时间可以通过缩小微芯片上的晶体管而减少。要实现收缩,我们所做的——光刻——是关键。通过我们对研发的持续投资和奉献,我们已成为创新的领导者,并成为全球所有主要芯片制造商的整体光刻解决方案的专注供应商。 |
ASML2022年年度报告
|
我们公司继续
|
战略报告 | 治理 | 金融 |
11
|
|||||||||||||||
是什么造就了我们ASML(续) |
我们的核心价值观 | ||||||||||||||||||||
为了在帮助解决人类最严峻挑战的同时应对人类最严峻的挑战,我们必须继续扩大ASML的核心价值观,这些价值观造就了我们的成功——挑战、协作和关怀。我们相信,这些价值观有助于在安全、包容的环境中为我们的员工提供机会,以培养他们的才能、感到受到尊重和茁壮成长,从而使他们能够做出有利于所有利益相关者的明智决策。 | ||||||||||||||||||||
我们挑战 | 我们合作 | 我们关心 | ||||||||||||||||||
说做不到,我们就敢。我们勇敢地挑战界限,质疑现状。我们不断完善我们的想法和流程,这使我们能够不断推动技术向前发展。 | 我们合作挖掘我们的集体潜力。我们与我们生态系统中的合作伙伴一道,扩展我们的知识和技能,相互学习并分享实现最佳结果的方法。通过这种方式,我们可以创建针对整体ASML进行优化的解决方案。 | 作为行业领导者,我们以诚信和尊重的态度行事,认识到我们的影响不仅限于技术,还包括人类、社会和地球。我们承担个人责任,创造一个安全、包容和信任的环境,鼓励和使来自各种背景的人能够发表意见、作出贡献、犯错误、学习和成长。 | ||||||||||||||||||
我们勇敢地挑战界限, | 我们扩展我们的知识和技能, | 对人类、社会和地球。 | ||||||||||||||||||
观看‘我们的价值观’视频 |
ASML2022年年度报告
|
我们公司继续
|
战略报告 | 治理 | 金融 |
12
|
|||||||||||||||
我们如何创新 | ||||||||||||||||||||
我们的创新理念是,我们将自己视为建筑师 和集成商,在创新生态系统中与合作伙伴合作。 |
我们通过伙伴关系进行创新。通过与客户密切合作开发我们的技术,我们力求在今天构建他们明天需要的东西。
|
ASML2022年年度报告
|
我们公司继续
|
战略报告 | 治理 | 金融 |
13
|
|||||||||||||||
我们如何创新(续) |
ASML2022年年度报告
|
我们公司 继续
|
战略报告 | 治理 | 金融 |
14
|
|||||||||||||||
客户亲密度 | ||||||||||||||||||||
我们相信与客户建立真正的合作关系至关重要, 确保我们分担我们所做工作的风险和回报。 |
我们与客户合作,以了解我们的技术如何最适合他们的需求和挑战。 | |||||
ASML2022年年度报告
|
我们公司 继续
|
战略报告 | 治理 | 金融 |
15
|
|||||||||||||||
客户亲密关系(续) |
ASML2022年年度报告
|
我们公司继续
|
战略报告 | 治理 | 金融 |
16
|
|||||||||||||||
我们的产品和服务 | ||||||||||||||||||||
我们高度差异化的解决方案为我们的客户提供了独特的价值驱动因素 和ASML,这将使人们能够在未来十年内实现负担得起的收缩。 |
我们的整体光刻方法 | ||
见页面35>
|
ASML2022年年度报告
|
我们公司继续
|
战略报告 | 治理 | 金融 |
17
|
|||||||||||||||
我们的产品和服务(续) |
Twinscan NXT:870是我们新一代的KrF系统,它的Na为0.80。 |
ASML2022年年度报告
|
我们公司继续
|
战略报告 | 治理 | 金融 |
18
|
|||||||||||||||
我们的产品和服务(续) |
ASML2022年年度报告
|
我们公司继续
|
战略报告 | 治理 | 金融 |
19
|
|||||||||||||||
我们的产品和服务(续) |
计量和检查系统(续) |
ASML2022年年度报告
|
与CTO的问答 | 战略报告 | 治理 | 金融 |
20
|
|||||||||||||||
创新——推动我们前进的动力 | ||||||||||||||||||||
在与我们的总裁、首席技术干事和管理委员会副主席的谈话中 | ||||||||||||||||||||
马丁·van den Brink |
|
ASML 2022年年度报告
|
与CTO的问答 继续
|
战略报告 | 治理 | 金融 |
21
|
|||||||||||||||
创新——推动我们前进的动力(续) | ||||||||||||||||||||
在与我们的总裁、首席技术干事和管理委员会副主席的谈话中 | ||||||||||||||||||||
马丁·van den Brink |
|
ASML2022年年度报告
|
小模式。影响很大。 | 战略报告 | 治理 | 金融 |
22
|
全球福祉 | |||||||||||
分子级诊断,全球健康影响 | |||||||||||
新冠肺炎疫情突出表明迫切需要新一代医疗诊断工具。正在进行的缩放和小型化可能会产生一个比指甲还小的微芯片,它可以抓住单个分子并对其进行分析,从而提供实时获取生物信息的途径,并在全球范围内实现福祉。 | |||||||||||
在线阅读更多 |
ASML2022年年度报告
|
市场 | 战略报告 | 治理 | 金融 |
23
|
|||||||||||||||
我们周围的世界 |
大局 |
影响我们市场的趋势 |
ASML2022年年度报告
|
市场继续
|
战略报告 | 治理 | 金融 |
24
|
|||||||||||||||
我们周围的世界(续) |
ASML2022年年度报告
|
市场继续
|
战略报告 | 治理 | 金融 |
25
|
|||||||||||||||
我们周围的世界(续) |
影响我们市场的趋势(续) | ||||||||||||||||||||||||||||||||
技术发展
技术正在快速发展,计算的下一个层次正在快速接近。移动计算时代——你可以随身携带计算机——正在走向一个‘无处不在的计算’的沉浸式世界,无论你走到哪里,计算能力都是可用的。
利用人工智能更好、更快地释放数据的力量
向无处不在的计算过渡是由所谓的‘物联网人工智能’(AIot)促成的。AIOT是一个智能连接的设备网络,通过强大的5G网络进行无缝通信,比以往任何时候都更好、更快地释放数据的力量。人工智能(AI)技术与物联网(loT)基础设施的结合将实现更高效的loT操作,改善人与机器之间的交互,并加强数据管理和分析。
随着人工智能和lots在5G的推动下越来越紧密地交织在一起,Alot的潜力将逐渐打开。人们可以访问的大量数据,以及由此提供的洞察力,将推动半导体业务的增长和数字化转型。
|
目前大约有400亿台联网设备在使用,而且每秒都有更多的设备在使用。预计到2030年,这一数字将增至3500亿台。根据外部研究,预计到2025年,联网的物联网设备每年将产生高达175ZB(zettabytes)的数据。从这个角度来看,一个zettabyte等于一万亿千兆字节。而以目前可用的平均互联网连接速度下载175ZB的数据,一个人需要花费18亿年的时间——在办公室(或其他任何地方)都要花费很长的一天时间。
因此,这些大数据也需要成为快速数据,以支持无处不在的计算,因为世界正在走向‘边缘’计算,在这种计算中,处理尽可能接近数据源,而不是在云端进行。
支持半导体的计算趋势
摩尔定律是半导体产业的指导原则,是推动产业从移动计算向无处不在的计算过渡的动力。这一转变继续扩大,促进了计算领域的三大趋势,如右图概述所示:应用程序、数据和算法。
|
|
||||||||||||||||||||||||||||||
ASML2022年年度报告
|
市场继续
|
战略报告 | 治理 | 金融 |
26
|
|||||||||||||||
我们周围的世界(续) |
影响我们市场的趋势(续) |
半导体行业市场 | 智能手机 | 个人 计算 |
消费电子产品 | 汽车 | 工业 电子学 |
有线和无线基础设施 | 服务器、数据中心和存储 | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
2020年,全球制造了超过9530亿颗芯片,为4710亿美元的产业提供了条件。2022年,半导体产业的芯片产量超过1.11万亿颗,为6180亿美元的市场提供了动力。增长将继续下去,市场分析师预测,到2025年,该行业的规模可能会超过7000亿美元。
半导体技术在塑造互联和智能网络的未来方面起着至关重要的作用,终端市场持续增长。概述显示了基于外部研究对整个行业当前市场规模和市场机会的展望。
|
|
|
|
|
|
|
|
||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
密钥驱动程序 | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
继续刷新所有半导体内容,包括图像传感器 | 高端计算和内存,快速转换到SSD | 传统产品和封装IC,附加组件中的高级IC | IC内容增长强劲:GPU、传感器、V2X通信传感 | 人工智能在大数据和传感器上的高端计算 | 用于快速数据处理、调制解调器、基站基础设施更新的设备 | 处理器和内存的高速增长,包括GPU在内的硬件加速 | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
2020年市场规模 (十亿美元) |
合计 | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
117 | 100 | 50 | 40 | 51 | 38 | 76 | 471 | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
2022年市场规模 (十亿美元) |
|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
144 | 115 | 71 | 63 | 73 | 53 | 100 | 618 | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
2025年市场机会 (十亿美元) |
|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
150 | 124 | 79 | 93 | 93 | 62 | 136 | 737 | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
2030年的市场机会 (十亿美元) |
|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
213 | 131 | 114 | 149 | 160 | 82 | 249 | 1,098 | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
展望2020-2030年复合年增长率(%) | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
6% | 3% | 9% | 14% | 12% | 8% | 13% | 9% | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
资料来源:ASML的投资者日演讲(2022年11月)。请注意,可能存在四舍五入的差异。 |
ASML2022年年度报告
|
市场继续
|
战略报告 | 治理 | 金融 |
27
|
|||||||||||||||
我们周围的世界(续) |
ASML2022年年度报告
|
市场继续
|
战略报告 | 治理 | 金融 |
28
|
|||||||||||||||
我们周围的世界(续) |
|
这个过程不断重复,直到晶片被图案覆盖,完成晶片的一层。为了制作一个完整的微芯片,这个过程在一层又一层地重复,将图案堆叠起来,以创建一个集成电路(IC)。最简单的芯片大约有40层,而最复杂的芯片可以有150多层。
要打印的特征的大小因图层的不同而不同,这意味着不同类型的光刻系统用于不同的图层——我们最新一代的EUV系统用于最关键的图层,具有最小的特征,而我们的ArFi、ArF、KrF和i-line系统可用于不太关键的图层,具有较大的特征。
|
|||||||||||||||||||||||||||||||
光刻工艺 | 晶圆厂内部 |
微芯片的制造涉及多个步骤,包括光刻以在光刻胶中创建图案,以及化学处理步骤,如沉积、光刻胶涂层、离子注入和刻蚀,以在硅片上创建电子电路。
微芯片是由大约50-150纳米厚的层构成的,这些层一次一层地建立在半导体基板上。最先进的芯片需要EUV和DUV浸没式光刻工具来制造。可以使用DUV干机生产更简单的微芯片,例如用于loT应用的传感器。
|
在沉积过程中为新层添加材料后,所需的图案暴露在其上,开发后留下精确定位在所需位置的线条和几何形状。然后蚀刻该层,使这些设计永久存在于晶片上。微芯片的整个制造过程——从启动到测试和封装设备,准备发货——可能需要18到26周,这取决于它们的复杂程度。 | |||||||||||||||||||||||||||||
当你把它分解时,光刻系统本质上就是一个投影系统。在我们的DUV系统中,光通过将要打印的图案的蓝图投射(称为‘掩膜’或‘掩膜’);在我们的EUV系统中,光通过掩膜反射。随着图案在光线中被编码,系统的光学器件收缩并将图案聚焦到感光硅片上。打印出图案后,系统会稍微移动晶片,然后在晶片上再复制一份。 |
半导体制造厂,通常称为‘晶圆厂’,是制造微晶片的工厂。晶圆厂的核心就是洁净室。所有的制造步骤都发生在这里,所以环境被控制以消除纳米级的尘埃。洁净室地板下面是‘子晶圆厂’,其中包含驱动激光器等辅助设备。公用设施晶圆厂——包括用于真空和冷却的泵送和消减系统——通常位于这下面的一层。 | |||||||||||||||||||||||||||||||
ASML2022年年度报告
|
市场继续
|
战略报告 | 治理 | 金融 |
29
|
|||||||||||||||
我们周围的世界(续) |
半导体应用领域 |
ASML2022年年度报告
|
小模式。影响很大。 | 战略报告 | 治理 | 金融 |
30
|
粮食安全 | |||||||||||
成本更低,产量更高 | |||||||||||
偏远地区的农田,特别是肯尼亚和埃塞俄比亚等新兴经济体的农田,极易受到气候变化的影响。随着微芯片变得更小、更便宜,世界各地使用移动设备的机会也在增加。农民现在正在使用智能手机获取重要的天气信息——旨在确保更好的作物和更大的粮食安全。 | |||||||||||
在线阅读更多 |
ASML2022年年度报告
|
我们的业务和ESG战略 | 战略报告 | 治理 | 金融 |
31
|
|||||||||||||||
注重长期价值创造 |
我们的目标是通过将技术推向新的极限来释放人类和社会的潜力——我们的愿景是,我们的突破性技术能够解决人类面临的一些最严峻的挑战。我们的战略和优先事项旨在实现这些要点,并为我们的利益攸关方创造价值。 |
我们的核心战略是 | |||||||||||
1. | 发展我们的核心整体光刻业务 | ||||||||||
2. | 确保独特的供应链能力,以确保业务连续性 | ||||||||||
3. | 走向邻近的商业机会 |
4. | 进一步关注ESG的可持续性 | ||||||||||
目前的重点是五个优先事项 | |||||||||||
加强 客户信任 |
|||||||||||
整体 光刻 |
|||||||||||
DUV 竞争力 |
|||||||||||
EUV.33 NA 制造业 |
|||||||||||
EUV.55不适用 插入 |
到2030年使我们的整体光刻业务增长两到三倍 |
ASML2022年年度报告
|
我们的业务和ESG战略继续
|
战略报告 | 治理 | 金融 |
32
|
|||||||||||||||
注重长期价值创造(续) |
确保独特的供应链能力,以确保业务连续性
|
进一步关注ESG的可持续性 |
我们的五个战略重点
|
我们的ESG可持续发展战略 | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
我们认为,数字技术是帮助社会取得进步和应对环境挑战的最重要工具之一。在微芯片的支持下,这些技术正在推动数字化转型,帮助应对全球挑战,例如通过减少能源消耗和温室气体排放应对气候变化。 我们认识到,技术的发展伴随着需要解决的新问题,例如设备和数据中心的能源使用、废物和材料使用的增加以及社会挑战。我们相信,我们的行业拥有推动可持续增长的巨大机遇和道义义务。 我们致力于利用我们的创新,也使半导体行业能够减少其足迹。我们的目标是帮助我们的客户最大限度地减少生产先进微芯片所需的材料和能源。在我们自己的业务范围内,包括我们的供应链,我们也在密切关注我们的社会和环境影响。 |
通过继续执行我们的战略重点,我们的目标是为我们的客户提供具有成本效益的解决方案,推动将行业路线图延伸到下一个十年,并支持我们对环境、社会和治理(ESG)雄心的长期承诺。 |
作为我们战略方针的核心,我们与利益相关者合作,以实现我们ESG可持续发展战略的雄心:
Environmental
我们希望继续扩大计算能力,但要尽量减少浪费、能源使用和排放。这就是我们关注能源效率、气候行动和循环经济的原因。
社会
我们希望确保负责任的增长造福于我们所有的利益相关者——拥有一个对所有人都有吸引力的工作场所,一个负责任的供应链,推动我们生态系统中的创新,并成为我们社区中有价值的合作伙伴。
治理
我们承诺履行我们的责任,并通过我们对综合治理、利益攸关方参与和透明报告的关注,在我们开展业务的方式中充分确定这些责任。
我们的ESG可持续发展战略是以重要性评估为基础,我们在评估中确定对公司最重要的影响。我们的目标是为我们的利益攸关方创造长期价值,同时也为联合国的可持续发展目标(SDGs)作出贡献。
|
||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
我们将继续专注于确保核心光刻业务的业务连续性,并控制未来独特的、支持路线图的技术。我们的供应链是实现我们发展核心业务的雄心的关键推动力。为了实现我们的增长愿望,我们需要确保创新、扩大规模和连续性、良好的商业条件以及与我们独特的技术供应商的建设性合作模式。我们正在积极主动地评估我们的供应基础,以便预测需求和控制未来路线图的能力。
|
||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
|
加强客户信任 |
|
DUV竞争力 | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
增强我们的创新和卓越运营能力,以按时和以最高质量交付我们的新产品和系统交付路线图,以满足客户的需求。通过零件的共通性和再利用,增加我们对可持续性的关注,并推动我们产品的性能和能效的提高,以减少成本和浪费。 | 继续我们的创新领导地位,通过将DUV提升到最高性能水平,同时保持成本竞争力,实现客户路线图的执行。扩大我们的安装基础并支持客户需求。 | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
|
制造用EUV.33NA | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
走向邻近的商业机会 | 通过扩展面向未来节点的产品组合,确保大批量制造性能并提高EUV技术的价值。通过改进系统性能,为我们的客户提高成本效益。 | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
除此之外,如果核心增长得到保障,我们就可以进入代表着更多增长机会的相邻区域。我们的目标是通过利用产品和技术的协同效应,并利用不同的未来半导体扩展引擎,专注于整体晶体管扩展前沿的协同机会,为我们的客户提供最佳服务。 | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
在页面上阅读更多内容70>
|
|
整体光刻 |
|
EUV. 55 不适用插入 |
||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
在边缘放置计量和控制方面建立一个制胜的位置,以支持客户的需求。将完整的产品组合集成到整体光刻解决方案中,以优化和控制光刻性能。 | 在逻辑和DRAM中插入EUV0.55NA(High-NA),从2025年起用于大批量生产,通过简化图案化方案和降低逻辑和DRAM的缺陷密度来支持客户路线图。 | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
ASML2022年年度报告
|
我们的商业模式 | 战略报告 | 治理 | 金融 |
33
|
||||||||||||||||||
|
我们需要什么来创造价值 | ||||||||||||||||||||||
我们资源的深度和广度以及 我们建立的关系是我们持续成功的关键。 |
人与文化 | 制造业 | 伙伴的生态系统 | ||||||||||||||||||||||||||||||||||||
我们有超过39,000有才华、敬业和上进心强的员工143国籍。我们的重点是招聘最优秀的人才,并为他们提供一个多样化和包容性的环境:一个工作场所,让人们分享相同的价值观,以挑战、协作和关怀。我们的文化帮助我们做出明智的决策,使所有利益相关者受益,并为股东创造长期价值。
|
几乎10,000人们在ASML工作8位于欧盟、美国和亚洲的生产基地。这些全球设施提供了一个高精度、精益的环境,我们在这里组装、测试和交付我们复杂的光刻、计量和检测产品组合,从原型到最终产品。
|
|||||||||||||||||||||||||||||||||||||
在页面上阅读更多内容16>
|
||||||||||||||||||||||||||||||||||||||
资本 | 创新 | 我们的光刻解决方案是强有力的伙伴关系的结果,与共同激励竞争和推动创新。 | ||||||||||||||||||||||||||||||||||||||||||
我们是一家长期经营的公司,拥有强大的资本储备,并以稳健的资产负债表为基础。截至2022年底,股东权益总额为88亿欧元在合并资产负债表上363亿欧元经营活动提供的现金净额85亿欧元2022年。这一财政实力使我们能够维持对设备的投资和不断发展的
实现我们的雄心壮志
增长议程。
|
我们制造世界上最先进的光刻系统。之所以能够做到这一点,是因为创新是我们不断寻求突破技术界限的一个常数。我们花了33亿欧元关于2022年的研发,但我们的创新并不是孤立的。相反,它是与价值链中的关键伙伴和我们的14,000研发人员。
|
客户 | 研究伙伴 | |||||||||||||||||||||||||||||||||||||||||
–致力于未来技术
–为批量制造提供合格的技术
–驱动生态系统
|
–提供持续的研究活动
–共同开发专门知识
|
|||||||||||||||||||||||||||||||||||||||||||
供应商 | 同行 | |||||||||||||||||||||||||||||||||||||||||||
–安全的供应链创新
–将投资和资源用于技术
|
–提供关键材料
–提供关键数据
–交付新的所需流程
|
|||||||||||||||||||||||||||||||||||||||||||
|
||||||||||||||||||||||||||||||||||||||||||||
在页面上阅读更多内容218>
|
在页面上阅读更多内容118>
|
ASML2022年年度报告
|
我们的商业模式 继续
|
战略报告 | 治理 | 金融 |
34
|
||||||||||||||||||
|
在晶圆厂内创造价值 | ||||||||||||||||||||||
我们是芯片制造过程的关键部分,但是 如果没有我们世界领先的技术,我们就无法发挥作用。 价值链中的其他关键合作伙伴。 |
需要数字技术来帮助人民和社会进步 | ||||||||||||||
见页面35>
|
1.沉积 | 2.光刻胶涂层 | 3.光刻 | 4.烘焙和开发 | 5.刻蚀 | 6.离子注入 | 7.去除光刻胶 | ||||||||||||||||||||||||||||||||
第一步通常是在硅片上沉积半导体材料薄膜。 | 然后在晶片上涂上一层称为‘光刻胶’的感光层。 | 光通过遮光板投射到晶片上。光学缩小和聚焦的折线板模式。然后,当抗蚀剂层暴露在光线下时,将此图案打印到晶片上。 | 然后将薄饼烘烤和显影,使图案永久化,并带有开放空间的图案。 | 气体等材料被用来从空地上蚀刻掉材料,留下一个3D版本的图案。 | 可以用正离子或负离子轰击晶片以调整半导体特性。 | 在电离层之后,光刻胶涂层的剩余部分将被去除,这些涂层是保护不被刻蚀的区域的。 |
ASML2022年年度报告
|
我们的商业模式继续
|
战略报告 | 治理 | 金融 |
35
|
||||||||||||||||||
|
用我们的整体方法创造价值 | ||||||||||||||||||||||
我们的整体方法是基于智能集成计算光刻,光刻系统和计量和检查。 这可以通过在大批量生产期间优化系统工艺窗口的设置和控制来实现收缩——改进 我们光刻系统的可用性,减少停机时间和总体成本,并为我们的客户优化产量。 |
我们世界领先的系统 | 光刻 | ||||||||||
计算光刻 | 计量和检查 | ||||||||||
计算光刻是用来预测和加强我们的光刻系统的过程窗口,通过计算最佳设置,取决于具体的应用。这发生在研发阶段,在光刻系统进入大批量生产之前。 | 我们有一套工具——光学和电子光束计量、高分辨率检查和扫描仪以及过程控制软件解决方案——这些工具控制过程窗口,并帮助确保光刻系统在晶圆厂环境中以最佳方式运行。作为制造过程的一部分,光刻是内联调整可以优化性能的唯一方法。 |
ASML2022年年度报告
|
我们的商业模式继续
|
战略报告 | 治理 | 金融 |
36
|
||||||||||||||||||
|
我们为利益相关者创造的价值 | ||||||||||||||||||||||
我们的成功有赖于与所有利益攸关方建立牢固、可持续的关系 在价值链中。我们的目标是为他们创造可持续的价值,并利用 他们对发展我们的战略、产品和服务的投入。 |
|
|
|
|
|
|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
股东 | 客户 | 供应商 | 雇员 | 社会 | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
我们在研发方面的大量持续投资帮助我们执行我们的业务战略,并使我们能够保持我们作为整体光刻技术领导者的地位。我们的创新有助于半导体行业的长期增长,这有助于我们通过股票回购和支付股息实现稳健的财务业绩和现金回报政策。 | 我们投资于创新,使我们的世界领先的光刻系统继续缩小微芯片。凭借EUV0.33NA和下一代EUV0.55NA平台,我们追求摩尔定律的延续。这使我们的客户能够为新的应用和设备开发更强大的芯片。同时,我们帮助我们的客户减少成本和他们的环境足迹。 | 我们与我们的战略伙伴关系共同创新,分享知识并利用彼此的技术专长,以推动更高水平的复杂性和能力。 我们以可持续和负责任的方式开展业务,与供应商的长期关系、密切合作和透明度是我们成功的关键。 |
ASML是一家成长型企业,为世界各地提供就业机会。我们的总部设在荷兰的Veldhoven,是社区的主要雇主。 我们投资于人们的职业发展和福祉,并提供一个多样化和包容性的环境,使人们能够充分发挥其潜力。这导致员工敬业度得分高,员工流失率低。 |
我们不断的创新使新技术能够支持半导体行业的增长和变革,以帮助满足社会的需求。作为全球技术领导者和雇主,我们在我们经营所在的当地社区发挥着积极作用。我们的协作生态系统培育创新,造福社会。例如,我们与大学和 | 研究机构,支持年轻的科技公司,并在世界范围内推广STEM教育。我们还开发突破性技术,以尽量减少我们自己的环境足迹。我们这样做是为了尽量减少浪费,最大限度地提高我们使用的材料的价值,并执行我们的碳足迹战略和产品能源效率战略。 | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
46亿欧元 | 212亿欧元 | 124亿欧元 | 78% | 1150万欧元 | 87% | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
股票回购 | 净销售总额 | 采购总支出 | 员工敬业度得分 | 社区投资 | 从外地和工厂退回的零件的再利用率 | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
€5.80 | 345 | 5,000 | 6.0% | 1470万欧元 | 38.1千吨 | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
每股拟议年化股息 | 出售光刻系统 | 供应商数目(四舍五入) | 流失率 | 对欧盟的贡献 研究项目 |
制造业和建筑物的排放(范围1 + 2) | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
€14.14 | #2 | 24% | 95% | 11.9公吨 | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
每股收益 | TechInsights芯片制造设备10大最佳供应商客户满意度排名 | 性别多样性–%女性流入 | 过去30年销售的系统中仍活跃在该领域的百分比 | 总价值链的间接排放(范围3) | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
ASML2022年年度报告
|
我们的商业模式继续
|
战略报告 | 治理 | 金融 |
37
|
|||||||||||||||
与利益攸关方接触 |
我们根据 GRI,其中包括利害关系方参与的原则,我们在其中确定要讨论的关键主题 与相关的利益相关者群体。 |
||||||||
在页面上阅读更多内容71>
|
||||||||
我们认为我们的利益相关者属于五个群体:股东、客户、员工、供应商和社会。这些团体可以影响或受我们的业务影响,我们支持持续的公开对话和知识分享,以造福所有各方。 |
|
|
该组成员包括现有股东、潜在的主动和被动投资者、金融和ESG分析师。我们的目标是帮助他们了解我们的(长期)投资机会。我们与他们交流我们的财务增长战略和机遇、财务业绩和前景、股东回报以及我们的可持续发展战略。 | |||||||||||||||||||
股东 | ||||||||||||||||||||
|
我们如何参与 | |||||||||||||||||||
–与投资者关系部门的直接互动(例如电话、ESG绩效调查、电子邮件交换、现场访问–访问ASML和/或投资者)
–年度股东大会
–投资者日
–公司季度业绩报告和新闻稿
–各种投资者会议和路演
–各种可持续性调查问卷、评估和调查反馈
|
||||||||||||||||||||
主要议题 | ||||||||||||||||||||
–财务业绩
–现金回报
–市场展望
–产品和终端市场
–客户采用
–地缘政治
–业务概要
–公司路线图和产品组合
– ESG目标和成果:人力资本发展、碳足迹、废物、回收利用、能源消耗、供应链中的社会责任
–董事会多元化和薪酬
|
||||||||||||||||||||
ASML2022年年度报告
|
我们的商业模式继续
|
战略报告 | 治理 | 金融 |
38
|
|||||||||||||||
与利益攸关方接触(续) |
|
我们是一家领先的芯片制造设备制造商。我们使我们的客户能够创建定义芯片上的电子电路的模式。我们的客户是世界领先的微芯片制造商,我们的成功与他们的成功密不可分。 | ||||||||||||||||||||||
客户 | |||||||||||||||||||||||
|
我们如何参与 | ||||||||||||||||||||||
–客户反馈调查
–通过客户团队和区域质量经理进行直接互动
–客户之声会议
–技术审评会议(我们的CTO、产品经理、其他主管和我们的主要客户之间)
–高管评审会议(ASML高管与主要客户之间)
–不同的技术专题讨论会和特别活动
|
|||||||||||||||||||||||
主要议题 | |||||||||||||||||||||||
–产品和技术
–客户路线图
–创新
–客户支持、拥有成本和质量
–ESG:能源效率,将ESG可持续性纳入战略和路线图,减少废物和材料的再利用,以及安全意识和行为
|
|||||||||||||||||||||||
我们的客户是世界领先的微芯片制造商。 | |||||||||||||||||||||||
|
我们希望提供统一的方向和锚ASML的身份在组织的深处。为此,我们的目标是帮助人们接受我们的价值观,熟悉我们的战略和宗旨,并维护我们的行为准则原则。员工敬业度对我们公司的成功很重要,而雇主的品牌使我们能够吸引人才。我们致力于良好的劳动实践并尊重人权。 | ||||||||||||||||||||||
雇员 | |||||||||||||||||||||||
我们如何参与 |
|
||||||||||||||||||||||
–员工敬业度调查
–培训和发展方案,包括雇员评价/反馈
–ASML的Speak Up服务
–工务委员会
–员工网络,例如Next,Women/Waves,Seniors,Parents,Veterans,Green ASML,Atypical,Shades and Proud
–内部沟通和提高认识(例如内联网、道德操守方案、部门员工会议、与董事会成员共进午餐)
–新雇员入职方案
–全体员工会议和高级管理层会议
|
|||||||||||||||||||||||
主要议题 | |||||||||||||||||||||||
–培训和发展
–行为守则/道德操守
–战略
–多样性和包容性
–劳动条件
–活力
–人权
–可持续发展目标和业绩
|
|||||||||||||||||||||||
ASML2022年年度报告
|
我们的商业模式继续
|
战略报告 | 治理 | 金融 |
39
|
|||||||||||||||
与利益攸关方接触(续) |
|
我们在很大程度上依赖我们的供应商网络来实现我们所争取的创新。我们的目标是确保我们获得满足我们短期和长期需求所需的产品、材料和服务。为此,我们投资发展我们的供应格局,以帮助供应商满足我们在质量、物流、技术、成本和可持续性方面的要求。我们致力于建立一个负责任和可持续的供应链。 | |||||||||||||||||||
供应商 | ||||||||||||||||||||
我们如何参与 | 主要议题 | |||||||||||||||||||
–ASML供应商日
–通过供应商客户小组/采购客户经理进行直接互动
–供应商审计
–实地考察
–通讯
–RBA自我评估问卷(SAQ)
–ASML的Speak Up服务
|
–产品和技术
–质量、物流、技术、总成本和可持续性(QLTCS)
–供应商业绩和风险管理
–知识产权/信息安全
–业务连续性
–RBA合规(道德、劳工实践、健康与安全以及环境)
–稀缺(天然)资源、3TG、危险物质等。
–循环(再利用、再循环、翻新)
–范围3碳足迹
|
|||||||||||||||||||
|
||||||||||||||||||||
|
我们致力于以负责任和关怀的方式开展业务,为我们的员工和我们经营所在的更广泛的社区服务。作为全球技术领导者和雇主,我们在我们开展业务的当地社区发挥着积极作用。我们还开发突破性技术,以尽量减少我们自己的环境足迹。我们这样做是为了尽量减少浪费,最大限度地提高我们使用的材料的价值,并执行我们的碳足迹战略和产品能源效率战略。 | ||||||||||||||||||||||
社会 | |||||||||||||||||||||||
|
|||||||||||||||||||||||
我们如何参与 | 主要议题 | ||||||||||||||||||||||
与行业工会和协会
–成员会议和技术论坛
–成员就标准进行谘询
–Brainport埃因霍温
与政府和当局
–与税务机关的对话
–相关的欧盟圆桌讨论
–合规报告
–与政府、当局和市政当局进行积极对话
与社区、大学、媒体、非政府组织和其他
–网址:www.asml.com
–社区参与方案和活动
–年轻的高科技社区(HighTechXL,Make Next Platform,Eindhoven Startup Alliance)
–公司访问
–新闻稿、采访、参与电话和会议
|
–员工发展
–慈善、赞助和捐赠
–创新方面的合作
–加强行业、社会和我们经营场所的创新
–社会和环境责任
–促进科学、技术、工程和数学(STEM)教育
–当地事态发展
|
||||||||||||||||||||||
ASML2022年年度报告
|
小模式。影响很大。 | 战略报告 | 治理 | 金融 |
40
|
能源转型 | |||||||||||
微小的联系,巨大的影响 | |||||||||||
向可再生能源的转变正在帮助提供全球应对气候变化所需的清洁、负担得起的能源。半导体是这一转变的绝对核心——利用、转换、传输和储存能源作为电力,并确保国家电网既能响应又能保持稳健。 | |||||||||||
在线阅读更多 |
ASML2022年年度报告
|
与CFO的问答 | 战略报告 | 治理 | 金融 |
41
|
|||||||||||||||
强劲的需求推动了出色的业绩 | ||||||||||||||||||||
与我们的执行副总裁兼首席财务官交谈 | ||||||||||||||||||||
罗杰·达森 |
|
||
我们正在提高EUV的输出能力 以及DUV。” |
||
罗杰·达森 | ||
执行副总裁兼首席财务官 |
ASML2022年年度报告
|
与CFO的问答 继续
|
战略报告 | 治理 | 金融 |
42
|
|||||||||||||||
强劲的需求推动了出色的业绩(续) | ||||||||||||||||||||
与我们的执行副总裁兼首席财务官交谈 | ||||||||||||||||||||
罗杰·达森 |
|
||||||||
|
我们正以前所未有的速度增长。” | |||||||
罗杰·达森 | ||||||||
执行副总裁兼首席财务官 | ||||||||
26亿欧元 | |||||
净销售额增加 | |||||
50.5% | |||||
毛利率 | |||||
46亿欧元
|
|||||
回购股份 | |||||
26亿欧元
|
|||||
支付的股息 | |||||
ASML2022年年度报告
|
与CFO的问答 继续
|
战略报告 | 治理 | 金融 |
43
|
|||||||||||||||
强劲的需求推动了出色的业绩(续) | ||||||||||||||||||||
与我们的执行副总裁兼首席财务官交谈 | ||||||||||||||||||||
罗杰·达森 |
|
||
ASML完全有能力在未来提供更多创纪录的演出。” | ||
罗杰·达森 | ||
执行副总裁兼首席财务官 |
ASML2022年年度报告
|
财务业绩 | 战略报告 | 治理 | 金融 |
44
|
|||||||||||||||
业绩关键绩效指标 | ||||||||||||||||||||
销售 | 盈利能力 | 流动性 | |||||||||||||||||||||
净销售总额 | 毛利 | 占总净销售额的百分比 | 现金和现金等价物(年底) | ||||||||||||||||||||
212亿欧元 | 107亿欧元 | 50.5% | 73亿欧元 | ||||||||||||||||||||
2021年:186亿欧元
|
2021年:98亿欧元
|
52.7% |
2021年:70亿欧元
|
||||||||||||||||||||
净系统销售额 | 经营收入 | 短期投资(年底) | |||||||||||||||||||||
154亿欧元 | 65亿欧元 | 30.7% | 1亿欧元 | ||||||||||||||||||||
2021年:137亿欧元
|
2021年:68亿欧元
|
36.3% |
2021年:6亿欧元
|
||||||||||||||||||||
服务和外地期权销售净额 | 净收入 | 经营活动所产生的现金净额 | |||||||||||||||||||||
57亿欧元 | 56亿欧元 | 26.6% | 85亿欧元 | ||||||||||||||||||||
2021年:50亿欧元
|
2021年:59亿欧元
|
31.6% |
2021年:108亿欧元
|
||||||||||||||||||||
光刻系统销售额(单位)1
|
每股收益 |
自由现金流2
|
|||||||||||||||||||||
345 | €14.14 | 72亿欧元 | |||||||||||||||||||||
2021: 309
|
2021: €14.36
|
2021年:99亿欧元
|
|||||||||||||||||||||
认可的浸入系统(单位) | |||||||||||||||||||||||
81 | |||||||||||||||||||||||
2021: 81
|
1.光刻系统不包括计量和检查系统。
|
||||||||||||||||||||||
认可的EUV系统(单位) |
2.自由现金流是一种非公认会计原则的衡量标准,定义为经营活动提供的净现金(2022年:84.868亿欧元,2021年:108.458亿欧元)减去购买不动产、厂房和设备(2022年:12.818亿欧元,2021年:9.007亿欧元)和购买无形资产(2022年:3750万欧元,2021年:3960万欧元)。我们认为,自由现金流是我们投资者的一个重要流动性指标,反映了可用于收购、偿还债务和通过股息和股票回购向股东返还资金的现金。购买不动产、厂房和设备以及购买无形资产是在计算自由现金流量时从经营活动提供的现金净额中扣除的,因为这些付款是支持维护和投资我们的资产以维持现有资产基础所必需的。
|
||||||||||||||||||||||
40 | |||||||||||||||||||||||
2021: 42
|
ASML2022年年度报告
|
财务业绩继续
|
战略报告 | 治理 | 金融 |
45
|
|||||||||||||||
业绩关键绩效指标(续) | ||||||||||||||||||||
2022年与2021年相比的经营业绩
|
截至12月31日止年度(百万欧元) | 2021 |
%1
|
2022 |
%1
|
%变化 | ||||||||||||
净系统销售额 | 13,652.8 | 73.4 | 15,430.3 | 72.9 | 13.0 | ||||||||||||
服务和外地期权销售净额 | 4,958.2 | 26.6 | 5,743.1 | 27.1 | 15.8 | ||||||||||||
净销售总额 | 18,611.0 | 100.0 | 21,173.4 | 100.0 | 13.8 | ||||||||||||
系统销售费用 | (6,482.9) | (34.8) | (7,582.3) | (35.8) | 17.0 | ||||||||||||
服务费用和外地期权销售 | (2,319.1) | (12.5) | (2,891.0) | (13.7) | 24.7 | ||||||||||||
销售费用共计 | (8,802.0) | (47.3) | (10,473.3) | (49.5) | 19.0 | ||||||||||||
毛利 | 9,809.0 | 52.7 | 10,700.1 | 50.5 | 9.1 | ||||||||||||
研究和开发费用 | (2,547.0) | (13.7) | (3,253.5) | (15.4) | 27.7 | ||||||||||||
销售、一般和行政费用 | (725.6) | (3.9) | (945.9) | (4.5) | 30.4 | ||||||||||||
其他收益 | 213.7 | 1.1 | — | — | (100.0) | ||||||||||||
经营收入 | 6,750.1 | 36.3 | 6,500.7 | 30.7 | (3.7) | ||||||||||||
利息及其他净额 | (44.6) | (0.2) | (44.6) | (0.2) | — | ||||||||||||
所得税前收入 | 6,705.5 | 36.0 | 6,456.1 | 30.5 | (3.7) | ||||||||||||
所得税费用 | (1,021.4) | (5.5) | (969.9) | (4.6) | (5.0) | ||||||||||||
所得税后收入 | 5,684.1 | 30.5 | 5,486.2 | 25.9 | (3.5) | ||||||||||||
权益法投资利润 | 199.1 | 1.1 | 138.0 | 0.7 | (30.7) | ||||||||||||
净收入 | 5,883.2 | 31.6 | 5,624.2 | 26.6 | (4.4) |
有关ASML截至2021年12月31日止年度与截至2020年12月31日止年度的经营业绩比较,请参阅ASML关于2020年12月31日止年度的20-F表格年度报告结束的一年2021年12月31日。
按照美国公认会计原则编制我们的合并财务报表需要管理层作出估计和假设。有关重要会计估计的详细资料,请参阅综合财务报表附注1一般信息/一般会计政策摘要。
|
来自每个逻辑的收入增长 和内存市场以及我们的安装基础 |
||
(百万) |
13.8% | ||
净销售额 | ||
13.0% | ||
净系统销售额 | ||
15.8% | ||
服务和外地期权销售净额 |
ASML2022年年度报告
|
财务业绩继续
|
战略报告 | 治理 | 金融 |
46
|
|||||||||||||||
业绩关键绩效指标(续) | ||||||||||||||||||||
强劲的净销售额增加 所有技术的需求 |
||
(百万) |
毛利
|
||
(百万) |
研究和开发费用 | ||
(百万) |
ASML2022年年度报告
|
财务业绩继续
|
战略报告 | 治理 | 金融 |
47
|
|||||||||||||||
业绩关键绩效指标(续) | ||||||||||||||||||||
销售、一般和行政费用 |
所得税 |
净收入 |
ASML2022年年度报告
|
财务业绩继续
|
战略报告 | 治理 | 金融 |
48
|
|||||||||||||||
业绩关键绩效指标(续) | ||||||||||||||||||||
截至12月31日止年度(百万欧元) | 2021 | 2022 | ||||||
期初现金和现金等价物 | 6,049.4 | 6,951.8 | ||||||
经营活动提供(用于)的现金净额 | 10,845.8 | 8,486.8 | ||||||
投资活动提供(用于)的现金净额 | (72.0) | (1,028.9) | ||||||
筹资活动提供(用于)的现金净额 | (9,891.7) | (7,138.3) | ||||||
汇率变动对现金的影响 | 20.3 | (3.1) | ||||||
现金和现金等价物净增加(减少)额 | 902.4 | 316.5 | ||||||
现金和现金等价物,期末 | 6,951.8 | 7,268.3 | ||||||
短期投资,期末 | 638.5 | 107.7 | ||||||
现金和现金等价物及短期投资 | 7,590.3 | 7,376.0 | ||||||
购置不动产、厂场和设备及无形资产 | (940.3) | (1,319.3) | ||||||
自由现金流1
|
9,905.5 | 7,167.5 |
ASML2022年年度报告
|
财务业绩继续
|
战略报告 | 治理 | 金融 |
49
|
|||||||||||||||
长期增长机会 | ||||||||||||||||||||
ASML2022年年度报告
|
财务业绩继续
|
战略报告 | 治理 | 金融 |
50
|
|||||||||||||||
长期增长机会(续) | ||||||||||||||||||||
我们更新的2025年模型超出了CMD 2021的高市场情景 | ||||||||||||||||||||||||||||||||||||||
|
|
|||||||||||||||||||||||||||||||||||||
市场 | 系统单位 | 总销售机会(十亿欧元) | ||||||||||||||||||||||||||||||||||||
高 | CMD 2021 单位ASML |
CMD 2022 单位ASML |
CMD 2022 ASML机组 | CMD 2021 销售 |
CMD2022年销售额 | CMD2022年销售额 | ||||||||||||||||||||||||||||||||
2025 | 2025 | 2030 | 2025 | 2025 | 2030 | |||||||||||||||||||||||||||||||||
EUV高-NA 0.55 | 5 | 5 | 30 |
系统
(Litho和M & I1)
|
23 | 32 | 47 | |||||||||||||||||||||||||||||||
EUV低-NA 0.33 | 70 | 80 | 80 | |||||||||||||||||||||||||||||||||||
ArFi(沉浸式) | 78 | 105 | 115 |
已安装的基础管理2
|
7 | 8 | 13 | |||||||||||||||||||||||||||||||
干 | 189 | 385 | 425 | |||||||||||||||||||||||||||||||||||
合计 | 342 | 575 | 650 | 合计 | 30 | 40 | 60 | |||||||||||||||||||||||||||||||
低 | CMD 2021 单位ASML |
CMD 2022 单位ASML |
CMD 2022 ASML机组 | CMD 2021 销售 |
CMD2022年销售额 | CMD2022年销售额 | ||||||||||||||||||||||||||||||||
2025 | 2025 | 2030 | 2025 | 2025 | 2030 | |||||||||||||||||||||||||||||||||
EUV高-NA 0.55 | 5 | 5 | 15 |
系统
(Litho和M & I1)
|
18 | 23 | 33 | |||||||||||||||||||||||||||||||
EUV低-NA 0.33 | 48 | 65 | 65 | |||||||||||||||||||||||||||||||||||
ArFi(沉浸式) | 63 | 75 | 85 |
已安装的基础管理2
|
6 | 7 | 11 | |||||||||||||||||||||||||||||||
干 | 124 | 180 | 250 | |||||||||||||||||||||||||||||||||||
合计 | 240 | 325 | 415 | 合计 | 24 | 30 | 44 | |||||||||||||||||||||||||||||||
1.M & I:计量和检验。 2.Installed Base Management等于我们的网络服务和现场期权销售。 |
||||||||||||||||||||||||||||||||||||||
ASML2022年年度报告
|
小模式。影响很大。 | 战略报告 | 治理 | 金融 |
51
|
智能移动 | |||||||||||
个人选择, 共享 好处 |
|||||||||||
在世界各地,人们正在改变他们对个人交通工具的看法。他们不再拥有昂贵且对环境有害的车辆,而是寻求通过汽车共享、拼车、叫车、微型交通和微型交通从A到B。支撑智能移动的移动应用都是由半导体技术支持的。 | |||||||||||
在线阅读更多 |
ASML2022年年度报告
|
风险 | 战略报告 | 治理 | 金融 |
52
|
||||||||||||||||||
我们如何管理风险 | |||||||||||||||||||||||
我们使用企业风险管理(ERM)框架来整合风险 管理我们的日常业务活动和战略规划。 |
|
||
风险管理的目的是最大限度地提高负责任地实现业务目标的可能性。” | ||
Geert Beullens | ||
风险和业务保证副总裁 |
监事会 | 审计委员会 | ||||||||||||||||||||||||||||
|
|
||||||||||||||||||||||||||||
要求调查具体的风险专题 |
–两年一次的风险审查
–风险主题反馈
|
–关于控制效力的断言
–季度进度报告
|
|||||||||||||||||||||||||||
管理委员会 | |||||||||||||||||||||||||||||
公司风险委员会(CRC) 风险监督 |
披露委员会 内部控制委员会 |
||||||||||||||||||||||||||||
|
|
||||||||||||||||||||||||||||
–风险偏好
–风险管理政策
–儿童权利委员会小组委员会(治理)
|
–风险评估结果
–风险应对进展
–事件
|
–控制效力
|
|||||||||||||||||||||||||||
风险所有者 | |||||||||||||||||||||||||||||
ASML2022年年度报告
|
风险继续
|
战略报告 | 治理 | 金融 |
53
|
||||||||||||||||||
我们如何管理风险(续) |
|
||
ASML风险管理流程为针对关键风险的适当风险和控制措施提供了指导。” | ||
Roel Verstegen | ||
企业风险管理主管 |
ASML风险范围 | |||||||||||||||||||||||||||||
战略和产品 | |||||||||||||||||||||||||||||
–行业周期风险
–政治风险
–气候变化风险
|
–商业模式风险
–合并和 收购风险 |
–竞争风险
–创新风险
–产品 管理风险 |
–产品路线图
执行风险
–知识产权 权利风险 |
||||||||||||||||||||||||||
财务和 报告 |
合作伙伴 | 人物 | 业务 | ||||||||||||||||||||||||||
–业务规划风险
–外汇
费率风险
–流动性风险
–利率风险
–资本可获得性风险
–交易对手信用风险
–股东积极性风险
–披露/外部报告风险
|
–顾客
依赖风险
–产品/服务
质量风险
–供应商战略和业绩风险
–供应链 中断风险 |
–知识管理风险
–组织效力风险
–人力资源风险
|
–产品
工业化风险
–流程有效性和效率风险
–环境、健康和安全风险
–自身的连续性
操作风险
–安全风险
–信息技术风险
–制造和 安装风险 |
||||||||||||||||||||||||||
法律和合规 | |||||||||||||||||||||||||||||
–合同责任风险
|
–违法违规风险
|
–违反内部政策的风险
|
|||||||||||||||||||||||||||
ASML2022年年度报告
|
风险继续
|
战略报告 | 治理 | 金融 |
54
|
||||||||||||||||||
我们如何管理风险(续) |
风险评估 | 风险应对 | |||||||||||||||||||||||||||||||||||||
自上而下的风险评估 | 协调和后续行动 | |||||||||||||||||||||||||||||||||||||
公司风险委员会/风险负责人/新出现的风险 | 风险所有者 | |||||||||||||||||||||||||||||||||||||
|
风险识别 |
|
风险格局 |
|
风险偏好 |
|
||||||||||||||||||||||||||||||||
|
||||||||||||||||||||||||||||||||||||||
风险分析 | ||||||||||||||||||||||||||||||||||||||
风险评价 |
|
|
风险处理 | |||||||||||||||||||||||||||||||||||
自下而上的风险评估 | 执行 | |||||||||||||||||||||||||||||||||||||
国家/部门 | 动作所有者 | |||||||||||||||||||||||||||||||||||||
风险类型 | 厌恶 | 谨慎 | 适度 | 高 | 广泛 | ||||||||||||||||||||||||||||||||||||||||||||||||
战略和产品 | |||||||||||||||||||||||||||||||||||||||||||||||||||||
合作伙伴 | |||||||||||||||||||||||||||||||||||||||||||||||||||||
人物 | |||||||||||||||||||||||||||||||||||||||||||||||||||||
业务 | |||||||||||||||||||||||||||||||||||||||||||||||||||||
财务和报告 | |||||||||||||||||||||||||||||||||||||||||||||||||||||
法律和合规 | |||||||||||||||||||||||||||||||||||||||||||||||||||||
ASML2022年年度报告
|
风险继续
|
战略报告 | 治理 | 金融 |
55
|
||||||||||||||||||
我们如何管理风险(续) |
战略 | 风险类别 | 风险发展 | 风险应对措施 | ||||||||
继续创新以保持技术领先地位 |
创新 产品路线图的执行 知识产权 供应商战略和业绩 人力资源 知识管理 安全 竞争 |
知识产权(知识产权)技术领导压力 |
–知识产权组合管理
–专利和有关技术出版物的监测
–对安保方案的广泛投资
–提高认识和培训方案
–网络防御中心
|
||||||||
–ASML及其开放式创新合作伙伴在获取专有技术和知识产权保护方面面临着巨大压力。ASML的存在是基于人和知识的。未经授权披露ASML的信息,ASML的客户或供应商可能会使竞争对手受益,对ASML申请专利的能力产生负面影响,或影响与客户和供应商的合作。
–我们的信息技术系统遭遇网络攻击和其他安全事件,我们的供应商、客户和其他服务提供商也遭遇此类网络攻击。
|
|||||||||||
先进的光刻解决方案 | 产品产业化 制造和安装 自身业务的连续性 供应商战略和业绩 供应链中断 人力资源 产品和服务质量 过程的有效性和效率 违反法律法规的行为 商业模式 竞争 政治 行业周期 |
增长挑战 |
–提高制造能力、提高利用率和减少周期
–快速出货
–支持供应商提高搬运费和缓解材料短缺
–部署入职和福利方案
–缩短获得知识的时间(学习运营模式)
|
||||||||
–所有细分市场和我们的产品组合的需求都在增加,这对我们来说是一个机遇,同时也带来了挑战。我们面临着提高我们端到端供应链的生产能力以满足这一需求的挑战。供应链的限制加剧了这种情况。
–在当前竞争激烈的市场中,招聘、入职和留住员工队伍正变得越来越具有挑战性。由于我们的成长而给我们的组织和员工带来持续的压力,这可能会导致我们员工的福利问题。
–我们将继续经历的高需求可能会改变客户的采购策略,从而减少对ASML的依赖。
|
|||||||||||
地缘政治紧张局势 |
–积极与政府当局就规章的效力、后果和可执行性进行接触
–在全球宣传方面与同行合作
–围绕潜在地缘政治事件的情景规划
–按要求申请出口许可证
–遵守适用的(现行和新的)条例
–供应链足迹的优化
|
||||||||||
–地缘政治紧张局势加剧,并在2022年实施了更多的出口管制限制。进一步限制出口或投资的风险很高,因此,随着中国、美国和许多其他国家争取技术主权,全球贸易正从全球化转向区域化。特别是,中美之间的紧张关系可能导致生态系统脱钩,并——从长期来看——导致产能过剩。鉴于两国在半导体供应链中的重要作用,这可能对我们的产业产生重大影响。贸易和出口壁垒已经影响了我们向某些客户销售和服务系统的能力,这很可能继续影响我们今后的业务。
–台湾与中华人民共和国之间关系的变化可能导致更多的贸易限制,并可能影响我们的雇员和为全球客户利用我们在台湾的制造设施和供应链的能力,以及我们为台湾客户提供服务的能力。
|
|||||||||||
全球经济疲软 |
–控制成本并保持灵活性
–围绕宏观经济趋势的情景规划
|
||||||||||
–在能源危机加剧的高通胀率的推动下,宏观经济下滑的担忧正在加剧。经济不确定性导致消费者和企业支出减少,并可能导致我们的客户减少、取消或延迟他们的订单。经济衰退也可能给紧张的劳动力市场带来机会。
|
|||||||||||
推动建立一个更可持续的世界 | 产品管理 EHS 气候变化 人力资源 违反法律法规 自身业务的连续性 供应链中断 |
加强ESG法规并提高利益相关者的期望 |
–利益攸关者的参与和披露
–ESG战略在组织和价值链中的部署
–按照《2021年全球报告倡议》(GRI)通用标准提交非财务报告
–业务连续性计划的部署
–在建筑升级和新设计中列入极端天气因素
–遵守(现行和新的)条例
|
||||||||
–各行各业的公司都面临着与其ESG政策相关的日益严格的审查。我们的利益有关者越来越关注我们对社会的贡献,并期望我们在所有生命周期阶段尽量减少产品对环境和社会的影响。全球向低碳经济转型的趋势导致了监管和披露要求的提高。无法实现我们的ESG目标并满足利益相关者新出现的ESG期望,可能会对我们的品牌和声誉产生负面影响。
|
|||||||||||
气候变化助长极端天气 | |||||||||||
–气候变化导致极端天气事件(如旋风和洪水、火灾压力、干旱、高温和降水压力、海平面上升)日益严重和频繁,这些事件可能影响我们的业务和/或供应链的连续性。
|
ASML2022年年度报告
|
风险继续
|
战略报告 | 治理 | 金融 |
56
|
||||||||||||||||||
风险因素 | |||||||||||||||||||||||
我们面临着许多有可能影响我们业务的风险。重要的是要了解这些问题的性质。 我们使用ASML风险范围来评估我们的风险,该风险范围包括六种风险类型(策略和产品, 财务和报告、合作伙伴、人员、运营、法律和合规)。 |
1.战略和产品 | ||||||||||||||||||||
我们未来的成功取决于我们对半导体行业的商业和技术发展作出及时反应的能力 | ||||||||||||||||||||
风险类别: | 商业模式,创新 | |||||||||||||||||||
我们在开发新技术、产品和服务以及改进现有产品和服务方面的成功取决于各种因素。这包括我们和我们的供应商的研发计划的成功,以及及时和成功地完成产品的开发和设计相对于竞争对手,或更昂贵。如果我们为帮助客户生产更小、更节能的芯片而采用的技术不如竞争对手开发的技术有效,我们的业务就会受到影响。如果我们的客户不采用我们开发的技术,或者不采用较少关注光刻产品的新技术架构,我们的业务也将受到影响。我们认为,我们的EUV0.55NA(高-NA)技术的成功对于跟上摩尔定律的步伐至关重要,它仍然取决于我们和我们的供应商在技术上的持续进步。我们投入大量财政资源开发和引进新的和增强的技术、产品和服务。如果我们未能成功开发(或者如果我们的客户不采用)这些技术、产品和服务,例如EUV0.55NA和多波束检测,或者如果其他公司成功地引入了替代技术或工艺,我们的竞争地位和业务可能会受到影响。 | 此外,我们在开发新产品和改进产品方面进行了大量投资,我们可能无法收回部分或全部投资。由于技术变化,我们可能会对包括原型在内的资本化技术产生减值费用,或产生与库存过时有关的成本。随着技术复杂性的增加,这种费用可能会增加。由于我们系统的高度复杂性和成本,包括更新的技术,我们的客户可能会购买现有的技术系统,而不是新的领先系统,或者可能会推迟他们对新技术系统的投资,因为这种投资不经济或不需要,因为他们的产品周期。全球经济状况影响着我们客户的投资决策,导致在引进和需求新的领先系统的时间上存在不确定性。我们的一些客户在实施他们的产品路线图方面已经经历并可能继续经历延迟。这增加了为引入新节点、从而引入新系统而放慢总体过渡期(或节奏)的风险。我们还依赖我们的供应商维持其发展路线图,使我们能够及时引进新技术。如果它们不能跟上步伐,无论是由于技术因素、缺乏财政资源还是其他原因,这就可能使我们无法实现我们的发展路线图。 | |||||||||||||||||||
新产品的推出是否成功是不确定的,取决于我们是否有能力成功地执行我们的研发计划 | ||||||||||||||
风险类别: | 产品路线图执行,创新 | |||||||||||||
随着我们的光刻系统和应用变得越来越复杂,开发新产品和技术的成本和时间周期都增加了。我们预计这种成本和时间周期将继续增加。特别是,开发新技术,如EUV 0.55 NA(High-NA)和多波束,需要我们和我们的供应商进行大量的研发投资,以满足我们和我们客户的技术需求。我们的供应商可能无法或不愿意投资必要的资源,以继续(共同)开发新技术,只要这种投资是必要的。这可能会导致ASML为此类研发计划提供资金,或者限制我们可以进行的研发投资。此外,如果我们的研发计划未能按时或完全成功地开发出所需的新技术,我们可能无法成功地推出新产品,无法收回我们的研发投资。鉴于客户的高需求水平,我们可能会将资源优先用于增加生产,而不是研发项目。 | ||||||||||||||
ASML2022年年度报告
|
风险继续
|
战略报告 | 治理 | 金融 |
57
|
||||||||||||||||||
风险因素(续) |
我们面临激烈的竞争 | 半导体行业可能是周期性的,我们可能会受到任何衰退的不利影响 | 我们的大部分收入来自销售数量相对较少的产品。 | |||||||||||||||||||||||||||||||||||||||||||||
风险类别: | 竞争 | 风险类别: | 行业周期风险 | 风险类别: | 商业模式 | ||||||||||||||||||||||||||||||||||||||||||
半导体设备行业竞争激烈。我们的竞争力取决于我们开发新的和增强的光刻设备、相关应用和服务的能力,这些设备和服务为我们的客户带来价值,并且价格具有竞争力并及时推出——以及我们保护和捍卫我们的知识产权、商业秘密或其他专有信息的能力。在DUV系统方面,我们主要与佳能和尼康竞争。佳能和尼康都拥有大量的财政资源和广泛的专利组合。每家公司继续提供与我们的DUV系统直接竞争的产品,这可能会影响我们的销售或业务。此外,不利的市场条件、长期的产能过剩或日元相对于欧元的价值下降可能进一步加剧基于价格的竞争,导致价格下降、销售额和利润率下降。 我们还面临着来自拥有大量财政资源的新竞争者的竞争,以及来自在地缘政治背景下实现自给自足的雄心所驱动的竞争者的竞争。此外,我们还面临着来自替代技术解决方案或半导体制造工艺的竞争,特别是如果我们未能及时和具有成本竞争力地开发新的EUV技术、产品和产品改进。 我们还与支持或增强复杂图案化解决方案的应用程序供应商竞争,例如Applied Materials Inc.和KLA-Tencor Corporation。这些应用程序有效地与我们的应用程序产品竞争,这是我们业务的一个重要部分。 |
半导体行业历来都是周期性的。作为全球半导体行业的供应商,我们受制于行业所处的景气周期,其发生的时点、持续时间及波动幅度均难以预测,并能对安森美半导体厂商产生重大影响,进而对ASML产生重大影响。该行业的新进入者,包括中国的半导体制造商,可能会增加未来周期性的风险。某些关键的终端市场客户—— Memory和Logic ——表现出不同程度的周期性和不同的商业周期。我们光刻系统、服务和其他整体光刻产品的销售在很大程度上取决于半导体制造商的资本支出水平。这些因素反过来又受到产业周期、对技术主权的驱动以及一系列竞争和市场因素的影响,包括半导体产业的状况和前景。客户资本支出的时间和规模也会影响行业生产芯片的可用产能,从而导致芯片的供需失衡。客户减少或延迟资本支出,或我们对客户资本支出的错误假设,都可能对我们的业务产生不利影响。此外,目前对我们的业务产生积极影响的行业趋势,如客户增加资本支出,可能不会继续下去。 |
在行业不景气的情况下,我们能否保持盈利,将在很大程度上取决于我们能否将成本降至盈亏平衡水平。如果由于行业低迷导致销售大幅下降,而我们无法调整同期的成本,如果需要返还首付款,我们的净收入可能会大幅下降,否则我们可能会蒙受损失。
由于我们在雇员、基础设施、制造能力和其他方面大幅增加了我们的组织,我们可能无法在行业不景气的情况下调整我们的成本。
此外,我们还面临着全球经济的疲软。经济不确定性经常导致消费者和企业支出减少,并可能导致我们的客户减少、取消或延迟他们的订单。信贷市场的紧缩、利率的上升以及对信贷供应的担忧,可能使我们的客户更难筹集资金,无论是债务还是股本,以资助他们购买设备,包括我们销售的产品。需求减少,再加上客户获得融资的能力延迟(或无法获得此类融资),可能会对我们的产品销售和收入产生不利影响,因此可能会损害我们的业务和经营业绩。
如果我们不能及时和适当地适应困难的宏观经济条件造成的变化,我们的业务、财务状况或业务结果可能会受到重大和不利的影响。
|
我们的大部分收入来自销售数量相对较少的光刻系统(2022年为345台,2021年为309台)。因此,发货时间,包括任何延误,以及确认少数系统在某一特定报告期内的系统销售,以及销售价格的上涨,可能对我们在该期间的业务、财务状况和经营业绩产生重大不利影响。
此外,我们可能无法将安装基础收入增加到我们计划的程度,例如,客户可能自己提供更多此类服务,或寻找其他第三方供应商提供此类服务。
|
||||||||||||||||||||||||||||||||||||||||||||
ASML2022年年度报告
|
风险继续
|
战略报告 | 治理 | 金融 |
58
|
||||||||||||||||||
风险因素(续) |
未能充分保护知识产权、商业秘密或其他专有信息可能会损害我们的业务 | 针对他人提出的知识产权索赔进行抗辩 可能会损害我们的生意 |
|||||||||||||||||||||||||||||||||||||
风险类别: | 知识产权 | 风险类别: | 知识产权 | |||||||||||||||||||||||||||||||||||
我们依靠专利和版权等知识产权(IP)来保护我们的专有技术。然而,我们面临的风险是,这种保护措施可能被证明是不充分的,我们可能遭受物质损害,因为除其他事项外: |
此外,可能需要法律程序来强制执行我们的知识产权,其有效性和范围可能会受到其他人的质疑。任何此类程序都可能导致大量费用和管理资源的转移,如果做出不利的决定,可能会导致大量费用或对我们的业务产生重大影响。
我们经历过并可能在未来经历第三方或我们的雇员的盗用攻击,包括盗窃知识产权、商业秘密或其他专有或机密信息。例如,我们经历了未经授权盗用与专有技术有关的数据的情况,如下所述"风险因素–网络安全和其他安全事件,或我们的流程或信息技术系统的其他中断,可能对我们的业务运营产生重大不利影响”.由于这类事件,第三方或其他人已经或可能在未经授权的情况下获取、复制、使用或披露我们的知识产权、商业秘密或其他专有信息,尽管我们努力保护它们。
|
在我们的业务过程中,我们过去一直受到第三方声称我们的产品或工艺侵犯其知识产权的索赔。如果成功,这种索赔可能会限制或禁止我们开发我们的技术、制造和销售我们的产品。
此外,我们的客户或供应商可能会受到第三方的侵权索赔,包括专利持有人公司,指称这些客户在制造半导体产品时使用的我们的产品和/或与使用我们的产品有关的工艺侵犯了发给这些第三方的一项或多项专利。如果此类索赔成功,我们可能被要求赔偿我们的客户因此类侵权行为而遭受的部分或全部损失或评估的损害。
|
我们还可能为解决索赔或潜在地加强或扩大我们的知识产权或限制我们对第三方的知识产权索赔承担大量的许可或和解费用。
专利诉讼是复杂的,可能会持续很长一段时间,从而可能产生大量费用,并转移关键管理人员和技术人员的注意力。专利诉讼的潜在不利结果可能包括支付重大金钱损失、禁止我们制造、出口或销售产品的禁令救济、声誉损害和/或涉及我们将支付的重大费用的和解。
|
|||||||||||||||||||||||||||||||||||
–知识产权法可能不能充分支持我们的所有权,或可能在未来发生不利变化;
–我们与客户、雇员和技术开发伙伴及其他人签订的保护知识产权的协议(例如保密、许可)可能不够充分,或可能被违反或终止;
–专利权可能不会像我们期望的那样被授予或解释;
–专利权将到期,这可能导致核心技术广泛可用,从而可能损害我们的竞争地位;
–我们为防止盗用或侵犯我们的所有权而采取的步骤可能不会成功;
–知识产权和商业秘密在适用和执行有关这些权利的法律的程度与我们经营的其他司法管辖区相比可能没有达到相同水平的国家很难执行;以及
–第三方可能能够为我们或类似的竞争技术开发或获得专利。
|
||||||||||||||||||||||||||||||||||||||
ASML2022年年度报告
|
风险继续
|
战略报告 | 治理 | 金融 |
59
|
||||||||||||||||||
风险因素(续) |
我们面临着经济、地缘政治和其他方面的发展 我们的国际业务 |
我们可能无法进行理想的收购或成功整合 我们收购的任何企业 |
|||||||||||||||||||||||||||||||||||||
风险类别: | 政治 | 风险类别: | 并购 | |||||||||||||||||||||||||||||||||||
全球贸易问题以及多边和双边条约和贸易政策的变化和不确定性,以及国际贸易争端、贸易制裁、出口管制、关税和类似条例,影响我们在国际上提供我们的系统、技术和服务的能力。特别是,我们在中国等某些国家提供技术的能力已经并将继续受到我们获得所需许可证和批准的能力的影响。
我们的业务涉及向包括中国在内的一些国家的客户销售系统和服务,我们的业务近年来在这些国家有所增长,其中包括可能受到更多出口法规或政策影响的技术。
美国政府颁布了贸易措施,包括国家安全条例和对与某些中国实体开展业务的限制,限制了我们在没有许可证的情况下向这些实体提供某些产品和服务的能力。受贸易限制影响的中国实体名单,以及出口监管要求以及此类监管的实施和执行,随着实体名单中某些实体的加入,以及最近的《半导体制造项目附加出口管制》对原产于美国的零部件和前往在中国从事先进技术节点的晶圆厂的美国人施加许可证要求,有所增加。受限制顾客的名单可能会有变动。
|
多边和双边条约、国家监管以及贸易、国家安全和投资政策和做法方面的这些和进一步发展,已经影响并可能进一步影响我们的业务以及我们的供应商和客户的业务。这些事态发展影响并继续影响我们获得必要许可证的能力(除其他外,来自荷兰政府),包括使用美国技术的授权以及生产和开发这类技术的雇员的授权。这些发展,包括对技术主权的推动,也可能导致全球贸易、竞争和技术供应链的长期变化,从而对我们的业务和增长前景产生不利影响。
我们的某些制造设施以及我们的供应链和客户都位于台湾。台湾客户占我们2022年总净销售额的38.2%,占我们2021年总净销售额的39.4%。台湾具有独特的国际政治地位。例如,台湾与中华人民共和国关系的变化、台湾政府的政策以及影响台湾政治、经济或社会环境的其他因素可能影响我们为台湾客户提供服务的能力,从而对我们的业务、财务状况和经营业绩产生重大不利影响。此外,我们的某些设施以及客户都位于韩国。韩国客户占我们2022年总净销售额的28.6%,占我们2021年总净销售额的33.4%。此外,自第二次世界大战后朝鲜半岛分裂以来,就一直存在着与朝鲜民主主义人民共和国(北朝鲜)的紧张关系。这些国家之间的关系恶化或朝鲜半岛爆发战争可能对我们的业务、财务状况或业务结果产生重大不利影响。
|
我们可能会不时收购或寻求收购业务或技术,以补充、增强或扩大我们目前的业务或产品,或以其他方式为我们提供增长机会。任何此类收购都可能导致我们无法实现我们的财务或战略目标或我们在计划中的执行能力,或扰乱我们正在进行的业务,并对我们的经营业绩产生不利影响。此外,我们完成此类交易的能力可能受到若干因素的阻碍,包括在获得政府批准方面的潜在困难。
我们进行的任何收购都可能带来与新业务或新技术与我们的业务和组织的整合有关的风险。我们不能确定我们将能够实现我们期望从某一特定的收购投资中获得的利益。这种交易也可能使我们的管理和业务资源紧张,因为管理新业务的挑战可能使我们的管理从日常业务中转移出来。此外,我们可能无法留住被收购企业的关键人员,或者我们可能难以整合员工、业务系统和技术。被收购企业的控制、流程和程序也可能无法充分确保遵守法律法规,我们可能无法识别合规问题或责任。
|
在收购方面,反垄断和国家安全监管机构过去和将来可能对我们施加条件,包括要求剥离资产或其他可能使我们难以整合所收购业务的条件。此外,我们可能难以获得或无法获得反托拉斯和国家安全许可,这可能会阻碍今后所期望的收购。
由于收购,我们记录了大量的商誉和无形资产。会计准则要求定期审查这些资产的减值指标。如果发现存在一项或多项减值指标,相关资产的估值可能会发生变化,并可能产生减值费用。
|
|||||||||||||||||||||||||||||||||||
ASML2022年年度报告
|
风险继续
|
战略报告 | 治理 | 金融 |
60
|
||||||||||||||||||
风险因素(续) |
我们可能无法实现我们的环境、社会及管治(ESG)目标,或 对新出现的ESG期望和法规作出及时反应 |
|||||||||||||||||||||||||||||
风险类别: | 气候变化,产品管理 | ||||||||||||||||||||||||||||
各行各业的公司都面临着与其ESG政策相关的日益严格的审查。投资者、资本提供者、股东权益团体、其他市场参与者、客户和其他利益相关者越来越关注ESG实践,近年来也越来越重视其投资的影响和社会成本。特别是,在半导体行业,重点是对社会的贡献,并在所有生命周期阶段尽量减少产品对环境和社会的影响。如果不能实现我们的ESG目标,不能满足利益相关者对ESG新出现的期望和/或不能及时响应加强的监管和披露义务,可能会对我们的品牌和声誉产生负面影响,从而可能妨碍我们开展有效竞争以招聘或留住员工的能力,从而可能对我们的运营产生不利影响。 | 气候变化导致极端天气事件日益严重和频繁,海平面上升和干旱可能影响我们业务和/或供应链的连续性。气候变化问题和气候变化对环境的潜在影响已导致并可能导致可能影响我们、我们的供应商和我们的客户的新的法律和条例。这些法律或条例可能导致我们为遵守规定而承担额外的直接费用,以及由于我们的价值链而增加的间接费用。此外,改善我们与产品有关的环境表现(例如能源效率)的能力可能会受到我们技术和产品的复杂性的影响。为了满足我们在这方面的ESG目标和要求,我们依赖我们的供应商及其减少生态足迹的能力。此外,我们依赖我们的客户和/或我们的客户可能对我们的进展不满意,这可能会影响需求。 |
全球向低碳经济过渡的趋势导致实施更多的法规,可能导致技术限制、产品设计的修改、能源价格和能源或碳税的增加、对污染的限制、必要的补救措施或其他可能影响我们的业务和增加我们的成本的要求。已经出台了各种以限制或管理二氧化碳和其他温室气体排放为重点的监管发展。这可能导致需要重新设计产品和/或以较高成本购买碳足迹较低的新设备或材料。
我们会按照适用的规例及指引,公布与我们的业务及合作伙伴有关的ESG事宜的披露资料,以及我们可能无须披露但仍选择披露的其他数据。
|
此类披露包括基于我们的预期和假设的陈述,其中涉及对成本和未来情况的预测,这可能被证明是不正确的。此外,我们的ESG可持续发展战略可能没有达到预期的结果,我们对实施的时间安排和成本以及实现既定目标的能力的估计也存在风险和不确定性,这可能导致我们无法在预期的时间安排上实现目标,也可能导致我们无法完全或在预期成本内实现目标。此外,ESG披露要求不断提高,有关部门对ESG事项提出的披露要求与我们目前遵守的要求有所不同,因此我们在遵守此类规定时面临风险,包括在不同司法管辖区遵守要求的风险,与此类合规相关的费用,以及如果我们的ESG披露被证明不正确,可能承担的责任。 | ||||||||||||||||||||||||||
ASML2022年年度报告
|
风险继续
|
战略报告 | 治理 | 金融 |
61
|
||||||||||||||||||
风险因素(续) |
2.财务和报告 | |||||||||||||||||||||||||||||
我们面临着金融风险,包括流动性风险、利率风险、 信用风险、外汇风险和通货膨胀 |
|||||||||||||||||||||||||||||
风险类别: | 流动性、利率、交易对手信贷、外汇 | ||||||||||||||||||||||||||||
我们是一家全球性公司,面临各种金融风险,包括与流动性、利率、信贷、外汇和通货膨胀有关的风险。
流动性风险
我们的业务或全球资本市场的负面发展可能影响我们履行财政义务或在资本或贷款市场筹集或再融资债务的能力。此外,由于法律限制或所需手续,我们可能无法在需要时从一个国家汇回现金,以便在其他地方使用。
利率风险
我们的欧洲债券按固定利率计息。我们的现金和投资以及我们的循环信贷机制
|
按浮动利率计息。如果不能有效地对冲这一风险,可能会影响我们的财务状况和经营业绩。此外,由于评级下调(或预期评级下调)、资本和贷款市场的发展或我们业务的发展,我们的借贷成本可能会增加。 |
截至2022年12月31日,应收融资款为38.552亿欧元,占2021年12月31日的83.7%。因此,我们的一个主要客户的业务失败或破产可能导致重大的信贷损失。
|
到欧元。我们主要以欧元支付销售费用,部分也以美元和台币计价。我们的经营业绩中有一小部分是由欧元、美元、日元、韩元、台币或人民币以外的货币变动所驱动的。
通货膨胀风险
由于商品、运输和工资成本的通货膨胀,我们面临成本增加的风险,这可能会影响我们的盈利能力。我们目前正经历高于正常水平的通货膨胀,这影响了我们的成本和利润率,以至于我们无法将增加的成本转嫁到我们的价格中。
|
||||||||||||||||||||||||||
货币风险 | |||||||||||||||||||||||||||||
我们的财务报表以欧元表示。因此,我们的业务结果受到欧元与其他货币之间汇率波动的影响。货币汇率的变动可能导致我们的财务报表出现亏损。我们特别容易受到美元和欧元之间汇率波动的影响,对日元、韩元、台币和人民币汇率波动的影响较小。 | |||||||||||||||||||||||||||||
交易对手信用风险 | |||||||||||||||||||||||||||||
我们面临信用风险,特别是与我们持有现金和投资的金融交易对手以及我们的客户。由于我们的客户数量有限,我们的应收账款的信用风险集中。我们的三个最大客户(基于总净销售额)占应收账款的52.528亿欧元,即78.6%,
|
|||||||||||||||||||||||||||||
ASML2022年年度报告
|
风险继续
|
战略报告 | 治理 | 金融 |
62
|
||||||||||||||||||
风险因素(续) |
3.合作伙伴 | |||||||||||||||||||||||||||||
我们的成功在很大程度上取决于少数 单一来源关键部件的关键供应商 |
|||||||||||||||||||||||||||||
风险类别: | 供应链中断、供应商战略和业绩 | ||||||||||||||||||||||||||||
我们依赖外部供应商提供我们系统中使用的组件和子组件,包括其设计。这些组件和子组件是从单一供应商或数量有限的供应商处获得的。随着我们业务的增长,我们对单一供应商或数量有限的供应商的依赖也在增加,因为我们的许多组件的高度专业化,特别是对于包括0.55 NA系统的EUV,意味着从多个供应商采购是不经济的。因此,我们的采购战略(在许多情况下)规定了‘单一采购,双重能力’。我们对有限的供应商集团的依赖涉及若干风险,包括可能无法及时以可接受的成本获得所需部件或组件的充分供应,以及对价格和质量的控制减少。这些部件和组件的供应出现延误,可能是由于各种原因,例如我们的供应商遇到的中断,包括停工、火灾、能源短缺、大流行病爆发、洪水、网络攻击、封锁、破坏或其他自然灾害,可能导致我们的产品交付出现延误,从而影响我们的业务。例如,我们的某些供应商的业务中断 |
由于芯片和材料短缺。长期无法获得足够的组件或子组件交付,或任何其他需要我们寻求其他供应来源的情况,都可能严重阻碍我们及时交付产品的能力,这可能会损害与客户的关系,并对我们的业务产生重大影响。
我们能够生产的光刻系统的数量可能受到我们的主要供应商之一Carl Zeiss SMT GmbH的生产能力的限制,该公司是我们唯一的透镜、镜子、照明器、采集器和其他关键光学部件(我们称之为光学部件)的供应商。我们与Carl Zeiss SMT GmbH有独家安排,如果他们无法维持和提高生产水平,我们可能无法履行订单,这可能对我们的业务产生重大影响,并损害与客户的关系。如果Carl Zeiss SMT GmbH终止与我们的供应关系或无法长期维持光学产品的生产,我们实际上将无法开展我们的业务。
|
我们不时遇到可能影响我们生产的供应限制,特别是在需求高的时期,例如我们在2022年经历并将继续经历的时期。2022年,我们受到供应链延迟和短缺的影响,导致一些系统的组装工作起步较晚。此外,由于需求量大,我们减少了工厂的周期时间,以便运送更多的系统。我们通过一个快速的装运过程实现了这一点,该过程跳过了我们工厂的一些测试。最后测试和正式验收随后在客户现场进行。这为我们的客户提供了更早的晶圆输出能力,但也导致延迟确认这些出货量的收入,直到正式的客户接受。我们和我们的供应商正在投资增加产能以满足需求。然而,增加产能需要时间,我们可能无法满足客户的全部需求几年。此外,我们还面临需求可能不会继续增加的风险,这可能导致产能过剩和增加产能的投资损失。 |
此外,我们的大多数主要供应商,包括Carl Zeiss SMT GmbH,都拥有数量有限的制造设施,这些设施的中断可能对我们的生产能力产生重大不利影响。
随着我们的产品变得更加复杂,获得组件的交货时间也增加了。如果我们未能充分预测对我们系统的需求或任何部件装运的延误,都可能导致部件供应不足,这可能导致我们系统的交付出现延误,并可能限制我们对不断变化的市场条件作出迅速反应的能力。相反,未能预测需求可能导致库存过剩和过时。
我们还依赖供应商开发新的模型和产品,并满足我们的发展路线图。如果我们的供应商在产品开发方面不符合我们的要求或时间表,我们的业务可能会受到影响。
|
||||||||||||||||||||||||||
ASML2022年年度报告
|
风险继续
|
战略报告 | 治理 | 金融 |
63
|
||||||||||||||||||
风险因素(续) |
4.人物
|
||||||||||||||||||||||||||||||||||||||
净销售额的很大一部分来自 几个顾客 |
我们的业务和未来的成功取决于我们管理组织增长的能力 并吸引和留住足够数量的受过充分教育和有技能的雇员 |
|||||||||||||||||||||||||||||||||||||
风险类别: | 客户依赖 | 风险类别: | 人力资源、知识管理、组织效力 | |||||||||||||||||||||||||||||||||||
从历史上看,我们向数量有限的客户出售了大量的光刻系统。由于半导体制造业的持续整合,客户集中度可能会提高。此外,虽然我们的整体光刻解决方案中的应用部分构成了我们收入的一部分,但这些客户中有很大一部分是与我们系统的客户相同的客户。因此,虽然我们的最大客户的身份可能每年有所不同,但在任何特定年份,销售可能仍然集中在相对较少的客户中。我们最大客户的确认净销售额总额为70.469亿欧元,占2022年净销售额总额的33.3%,而2021年为68.811亿欧元,占净销售额总额的37.0%。2022年,55.8%的净销售额来自两个客户。任何重要客户的损失或此类客户订单的任何重大减少或延迟都可能对我们的业务、财务状况和经营业绩产生重大不利影响。
|
我们的业务和未来的成功在很大程度上取决于我们吸引和留住员工的能力,包括大量高素质的专业人员。对这类人员的竞争非常激烈,而且在去年加剧了。尽管我们有能力大幅增加我们的员工基础,但吸引足够数量的合格员工来满足我们不断增长的需求仍将是一个挑战。这种无法吸引、入职和留住合格人员的风险随着我们业务的增长而增加。
我们的研发项目需要大量合格的员工。如果我们不能吸引足够数量的这类雇员,这可能会影响我们及时进行研发的能力。此外,由于意外原因,如辞职或长期生病,关键员工的流失也是一种风险。
|
此外,由于我们技术的独特性和复杂性,能够在我们的系统上工作的合格工程师很少,而且通常无法从其他行业或公司获得。因此,我们必须教育和培训我们的员工在我们的系统上工作。留住那些关键员工是我们成功的关键因素。
此外,我们的产品日益复杂,导致新员工和现有员工及供应商的学习曲线变长,导致无法缩短周期,并可能导致大量额外成本。我们的供应商在吸引和留住合格员工方面也面临类似的风险,包括那些与支持我们的研发计划和技术发展的项目有关的员工。如果我们的供应商无法吸引和留住合格的员工,这可能会影响我们的研发计划或向我们交付组件。
|
近年来,我们的组织有了显着增长。我们可能无法有效地管理、监督和控制我们的员工、设施、运营和其他资源。近年来,在强劲的客户需求的推动下,我们的快速增长给我们的组织和员工带来了压力,这可能会对员工的福祉产生负面影响。这可能反过来对我们的业务效率、我们确保遵守法律法规的能力以及我们作为雇主的声誉产生负面影响。 | |||||||||||||||||||||||||||||||||||
ASML2022年年度报告
|
风险继续
|
战略报告 | 治理 | 金融 |
64
|
||||||||||||||||||
风险因素(续) |
5.业务 | ||||||||||||||||||||||||||||||||||||||
我们在管理我们的产品的工业化和 使它们进入高批量生产 |
我们依赖于一个有限的继续运作 制造设施数目 |
|||||||||||||||||||||||||||||||||||||
风险类别: | 产品产业化 | 风险类别: | 自身经营的持续性 | |||||||||||||||||||||||||||||||||||
使我们的产品以基于价值的价格和具有成本效益的方式大量生产取决于我们管理产品工业化和管理成本的能力。客户对我们产品的采用取决于我们产品在现场的表现。随着我们的产品变得更加复杂,我们面临着越来越大的风险,即产品可能不符合开发里程碑或规格,可能不符合规格,包括质量标准。如果我们的产品不符合规格和性能标准,或者出现质量或性能问题,这可能会导致额外的成本、对我们产品的需求减少以及我们的客户无法满足计划的晶圆产能。
将我们新开发的产品转变为全面生产需要扩大我们的基础设施,包括提高我们的制造能力,增加部件供应和培训合格人员。它还可能要求我们的供应商扩大其基础设施能力。如果我们或我们的供应商无法在必要时扩大基础设施,我们可能无法及时或根本无法引进新技术、产品或产品改进或实现新开发产品的大量生产。
|
此外,当我们成功地实现新产品的工业化时,可能需要数年时间才能达到利润率,就像EUV 0.33 NA的情况一样。
新技术的利润率可能与现有技术不同,我们可能无法有效地调整基于价值的定价和/或成本。此外,新技术、新产品的引入或产品改进也会影响ASML的流动性,因为新产品的周期可能更长,从而导致营运资金需求增加。随着我们的产品变得更加复杂和昂贵,这种对流动性的影响也会增加。
与提供所需的客户支持功能相关的能力、能力和成本,以覆盖越来越多的货物和为越来越多的在外地运行的EUV系统提供服务,可能会影响货物的时间安排。它还可能影响维护、服务和升级的有效执行,这是我们的系统继续实现所需生产力的关键。
|
我们的所有制造活动,包括分装、总装和系统测试,都在Veldhoven(荷兰)、柏林(德国)、Wilton、圣地亚哥(美国)、平泽(韩国)、林口和台南(台湾)的洁净室设施中进行。这些设施可能因各种原因受到破坏,包括停工、火灾、能源短缺、大流行病爆发、洪水、网络攻击、堵塞、破坏或其他自然灾害或其他灾害。如果发生重大破坏,我们不能确保有替代生产能力。2022年,我们在柏林的行动中经历了一场火灾,需要大量的恢复努力来确保我们的行动。 | 随着我们组织的发展,我们不能完全保证我们的风险敞口。此外,并非所有灾害都是可以投保的。由于我们无法为潜在损失提供适当的保险,我们将受到未投保损失的财务影响,这可能对我们的财务状况和经营业绩产生不利影响。 | |||||||||||||||||||||||||||||||||||
ASML2022年年度报告
|
风险继续
|
战略报告 | 治理 | 金融 |
65
|
||||||||||||||||||
风险因素(续) |
我们面临着满足需求的挑战 | 我们行动的性质使我们接触到健康, 安全和环境风险 |
|||||||||||||||||||||||||||||||||||||
风险类别: | 制造和安装、人力资源、供应商战略和业绩 | 风险类别: | 环境、健康和安全 | |||||||||||||||||||||||||||||||||||
由于我们的系统在满足终端市场需求方面发挥着关键作用,近年来,我们所有细分市场和产品组合的需求都在不断增长。这种高水平的需求带来了挑战。我们一直并正在继续提高端到端供应链的生产能力,以满足这一需求,但我们在提高能力方面面临挑战。例如,为了提高我们的能力,我们依赖我们的供应商提高他们的能力,而建立扩大所需的生产空间和设备需要时间。我们和我们的供应链也需要获得许可,以使扩张成为可能;这些许可可能不会(及时)得到批准。
在当前竞争激烈的劳动力市场上,如何雇用和留住更多的员工,对ASML和我们的供应商来说是一项挑战。我们的进程和制度可能无法充分支持我们的增长。此外,我们的端到端供应链正面临材料短缺的问题,这阻碍了我们的增长。
|
如果我们不能成功地提高满足需求的能力,这可能会影响我们与客户的关系和我们的竞争地位。我们继续遇到的需求增加和由此产生的供应限制导致客户的交货时间延长,这可能导致客户改变其采购策略以减少对ASML的依赖,从而影响我们在某些产品中的市场份额。
在我们能够提高产能的地方,我们面临更大的衰退风险,因为在行业衰退的情况下,我们降低成本变得更加困难。
|
有害物质被用于我们的产品和系统的生产和运营,这使我们必须遵守与环境保护以及员工和产品健康和安全有关的各种政府法规。这包括运输、使用、储存、排放、处理、排放、产生和处置有毒或其他有害物质。此外,操作我们的系统(使用激光和其他潜在危险系统)可能是危险的,并可能导致伤害。如果不遵守当前或未来的法规,可能会导致对我们处以巨额罚款、暂停生产、改变我们的制造、组装和测试流程、损害我们的声誉和/或限制我们的经营或销售或其他不利后果。 | 此外,我们的产品也变得越来越复杂。这要求我们投资于持续的风险评估和制定适当的预防和保护措施,以促进员工(与生产和安装我们的系统和现场选择以及我们的服务表现有关)和客户的员工(与我们的系统运作有关)的健康和安全。我们的健康和安全做法可能无法有效减轻所有健康和安全风险。如果不遵守适用的法规,或者我们在客户和员工健康和安全方面的实践不能得到执行,我们可能会承担重大责任。 | |||||||||||||||||||||||||||||||||||
ASML2022年年度报告
|
风险继续
|
战略报告 | 治理 | 金融 |
66
|
||||||||||||||||||
风险因素(续) |
网络安全和其他安全事件,或我们进程的其他中断或 信息技术系统,可能对我们的业务运营产生重大不利影响 |
|||||||||||||||||||||||||||||
风险类别: | 安全、信息技术、流程有效性和效率 | ||||||||||||||||||||||||||||
我们依赖于我们的信息技术(IT)系统的准确性、可用性和安全性。尽管我们已采取措施,包括与网络安全有关的措施,但我们的系统仍可能因计算机病毒和系统攻击、自然或人为事件、灾害或未经授权的实体或电子访问而遭到破坏或破坏,我们经历了其中一些事件。
我们的IT系统以及我们的供应商、客户和其他服务提供商的IT系统正遭受越来越多的网络攻击,我们无法控制他们的系统。这些攻击包括恶意软件(恶意软件)、试图和采取行动以获取未经授权的数据访问权限,以及对我们的IT系统的其他电子和物理安全漏洞。它们还包括我们的供应商、客户和其他服务提供商的信息技术系统,这些系统已经导致并可能导致我们的客户、供应商或其他业务伙伴——包括研发伙伴——的关键系统中断、未经授权的发布、盗用、腐败或数据或机密信息(包括与我们的客户、雇员和供应商有关的机密信息)的丢失。此外,我们依靠我们的员工和供应商的员工适当地处理机密和敏感数据,并以安全可靠的方式部署我们的IT资源,不会使我们的网络系统面临安全漏洞或数据丢失。
|
我们的员工、供应商或其他第三方员工的无意披露或行为或渎职行为已导致并可能在未来导致数据丢失或被盗用或我们的IT系统遭到破坏或中断,并可能导致竞争损害和违反出口管制和其他法律法规,从而可能导致罚款和处罚、业务中断、声誉损害和额外的监管审查或出口管制措施。我们曾经历过一名(现)在中国的前雇员擅自盗用与专有技术有关的数据。我们迅速启动了全面的内部审查。根据我们的初步调查结果,我们不认为盗用对我们的业务有重大影响。然而,由于安全事件,可能违反了某些出口管制条例。因此ASML已向有关当局报告了这一事件。鉴于这一事件,我们正在实施更多的补救措施。 此外,任何系统故障、事故或安全漏洞都可能导致业务中断、窃取我们的知识产权或商业秘密(包括我们的专有技术)、未经授权访问或泄露客户、人员、供应商或其他机密信息、损坏我们的数据或系统、声誉受损或诉讼以及违反适用法律。 |
此外,计算机病毒或其他恶意软件可能损害我们的系统和软件,并可能无意中传播到我们客户的系统和业务,这可能导致客户流失、诉讼、监管调查和诉讼,使我们承担民事或刑事责任,并转移管理层的大量注意力和资源,以补救由此造成的损害。 我们还可能需要承担大量费用,以防止或修复这些中断或安全漏洞造成的损害,例如,重建内部系统、实施额外的威胁保护措施、对我们的产品和服务进行修改、抗诉、回应监管调查或行动、支付损害赔偿或对第三方采取其他补救措施。此外,补救工作可能不会成功,并可能导致服务中断、延迟或停止、不利的宣传、损害我们的声誉、客户对违约的指控、可能的诉讼以及现有或潜在客户的损失,这些都可能妨碍我们的销售或其他关键职能。 网络安全威胁不断演变。我们仍然有可能受到更多已知或未知的威胁,因为在某些情况下,我们、我们的客户、合作伙伴和我们的供应商可能不知道某一事件或其规模和影响。 |
我们还面临这样的风险,即我们可能会通过我们向客户提供的系统无意中使客户遭受网络安全攻击,包括如上所述的恶意软件或其他类型的攻击,这可能会损害我们的客户。此外,我们提高了本组织内部的远程工作水平,这增加了网络安全事件的风险。 ASML在半导体行业中的知名度和重要性不断提高。存在这可能导致采取可能对ASML的安全或员工安全产生不利影响的行动的风险。 此外,进程和制度可能无法充分支持我们近年来经历并将继续经历的增长。我们不时对我们的IT系统和软件进行更新,这可能会破坏或关闭我们的IT系统。我们可能无法按计划成功地启动和整合这些新系统而不中断我们的业务。例如,我们目前正在实施一个新的ERP系统和基础设施。由于这一系统的实施或其他原因,我们的业务已经并可能继续受到干扰。 |
||||||||||||||||||||||||||
阅读更多: 治理----负责任的企业----信息安全.
|
|||||||||||||||||||||||||||||
ASML2022年年度报告
|
风险继续
|
战略报告 | 治理 | 金融 |
67
|
||||||||||||||||||
风险因素(续) |
6.法律和合规 | ||||||||||||||||||||||||||||||||||||||
我们受到日益复杂的监管 和遵约义务 |
税收的变化可能会影响我们的 未来盈利能力 |
|||||||||||||||||||||||||||||||||||||
风险类别: | 违反法律法规 | 风险类别: | 违反法律法规 | |||||||||||||||||||||||||||||||||||
近年来,我们的业务在销售、运营、员工和业务基础设施方面都有显著增长。因此,遵守法律法规,包括但不限于遵守我们的内部政策和标准,例如《ASML行为守则》,变得更加复杂。此外,由于我们在世界不同国家开展业务,我们越来越需要遵守这些司法管辖区的其他法律法规,包括但不限于出口管制、反腐败、反贿赂、反垄断和ESG法规,这些法规可能很复杂。我们也可能会受到这些司法管辖区当局就遵守法律和条例,包括税法的情况进行的调查、审计和审查。
|
此外,我们必须遵守的现有法律和条例,包括有关但不限于贸易、国家安全、税收、出口管制、报告、产品合规、反腐败法、反托拉斯法、人权、数据保护、空间规划和环境法的条例,正变得越来越复杂,贸易和国家安全环境造成越来越多的限制。贸易和安全条例限制了我们在某些管辖区销售我们的产品和服务的能力,我们面临进一步限制的风险。我们经历了装运许可证的延误以及对向某些客户运送某些产品或部件的限制。
适用于我们业务的法规的这种变化可能会增加合规成本和不合规的风险。不遵守规定可能导致罚款和处罚、业务中断、声誉损害和额外的监管审查措施。此外,额外的法规可能会影响或限制我们在某些司法管辖区销售我们的产品和服务的能力。
|
在荷兰和我们活动的其他国家,我们要缴纳所得税。我们的有效税率在过去是波动的,将来可能会波动。
我们的商业环境的变化会影响我们的有效税率。这同样适用于我们开展业务的国家的税务立法变化,以及经合组织等全球组织推动的发展,以及税务当局对税务方法的任何改变。所有这些举措已经导致并可能导致ASML的合规义务进一步增加。此外,这可能导致我们的实际税率在未来几年增加。
|
在我们经营所在的司法管辖区,税收立法的变化可能会对我们的税收状况产生不利影响,从而影响我们的净收入。我们的全球有效税率受到我们经营所在国家的税法和法规中包含的研发激励措施的严重影响。例子包括荷兰所谓的创新盒子,以及我们在美国获得的外国衍生的无形收入扣除/研发信贷。如果司法管辖区在这方面改变其税收政策/法律,可能会对我们的全球有效税率产生不利影响。此外,各法域按不同的税率征收公司所得税。我们在我们经营的各个司法管辖区的销售组合每年可能有所不同,导致适用于我们利润的公司所得税税率组合不同,这也可能影响我们的全球有效税率并影响我们的净收入。 | |||||||||||||||||||||||||||||||||||
ASML2022年年度报告
|
风险继续
|
战略报告 | 治理 | 金融 |
68
|
||||||||||||||||||
风险因素(续) |
7.其他风险因素 | ||||||||||||||||||||||||||||||||||||||||||||
新冠肺炎或其他流行病可能影响我们的行动 | 对股东权利的限制可能会削弱投票权 | 我们不得在任何一年宣布现金股息、进行股票回购计划或注销全部或任何特定数额的股票 | 我们可能会受到俄乌冲突的影响 | |||||||||||||||||||||||||||||||||||||||||
新冠疫情以及为在全球范围内应对这一大流行病而采取的措施可能继续影响我们的业务、我们的供应商和我们的客户。大流行病可能对全球经济产生重大影响,从而可能影响我们的终端市场。
新冠疫情增加了本组织内部的远程工作水平,影响了生产力,可能延误我们的路线图,增加网络安全事件的风险和/或影响我们的控制环境。此外,由于我们依赖我们的供应商,由于新冠疫情而对其业务造成的干扰影响到我们以及我们生产、交付和服务工具的能力。市场对半导体的需求以及因此对我们的产品和服务的需求也可能受到新冠疫情和为解决这一问题而采取的措施的影响。此外,我们业务的一个重要部分涉及在全球各地的客户场所安装和维修工具,这可能会受到旅行限制和疫苗接种要求的影响。
关于新冠疫情如何发展以及对全球GDP、终端市场以及我们的制造能力和供应链的影响,目前尚不确定。这一流行病对ASML的影响将取决于未来的事态发展,包括这一流行病的持续严重性,以及荷兰和其他外国政府为遏制疫情爆发或消除其影响而采取的行动,而这些都是我们无法控制的。
|
我们的公司章程规定,我们须遵守适用于大公司的荷兰法律的规定,称为‘结构制度’.这些规定的作用是将对某些公司决策和交易的控制权集中在我们的监事会手中。因此,面对我们的监事会成员的行动,普通股股东在保护他们的利益方面可能比我们不受制于‘结构制度’的情况下更加困难。
我们的法定股本还包括一类累积优先股,我们已授予Stichting Preferente Aandelen ASML一家荷兰基金会以每股面值0.09欧元收购此类累积优先股的选择权。行使优先股选择权将有效地将我国已发行普通股的投票权稀释一半,这可能会阻碍或严重阻碍第三方获得我国多数有表决权的股份。
|
我们的目标是支付一个季度的股息,随着时间的推移(按年计算)不断增长,并且我们不时进行股票回购。任何一年的股息提议、股票回购和股票注销金额将取决于可分配利润、留存收益和现金的可获得性,并可能受到管理委员会对我们未来潜在流动性需求的看法的影响,包括对产能和营运资金需求的投资、为我们的研发项目提供资金和不时出现的收购机会,以及未来适用的所得税和公司法的变化等因素的影响。管理委员会可能决定不支付股息或支付较低的股息,并可能暂停、调整或终止股票回购计划,否则我们可能无法完成回购计划。 | 虽然我们目前没有在俄罗斯或乌克兰开展行动,但乌克兰军事行动的影响在宏观经济环境中造成了不确定性。这一军事行动,包括制裁和采取的其他应对措施,已经并可能进一步对全球经济、金融市场和供应链产生不利影响,因此可能影响客户需求、向客户提供产品和服务,以及我们的能力和供应链获得零部件和天然气供应的能力。此外,冲突加剧了能源价格、商品价格、运输成本、通货膨胀和网络攻击的激增。 | |||||||||||||||||||||||||||||||||||||||||
ASML2022年年度报告
|
小模式。影响很大。 | 战略报告 | 治理 | 金融 |
69
|
虚拟现实和增强现实 | |||||||||||
虚拟 现实,虚幻的机会 |
|||||||||||
虚拟现实(VR)和增强现实(AR)不仅仅是游戏。在ASML,这些技术正在帮助我们设计、制造和维护一些世界上最复杂的机器。通过VR和AR,我们的团队能够操纵设计并学习如何维护系统——在某些情况下,比机器本身实际存在的时间早很多年。 | |||||||||||
在线阅读更多 |
ASML2022年年度报告
|
环境、社会和治理 | 战略报告 | 治理 | 金融 |
70
|
|||||||||||||||
ESG概览 | ||||||||||||||||||||
我们的目标是成为可持续发展方面的领导者,并继续推动在实现可持续发展方面取得进展 所有人的包容性和可持续增长。 |
我们的愿景 | 我们对 数字化、可持续的未来 |
|||||||||||||||||||||||||
|
我们希望以最少的浪费、能源使用和排放为扩大计算能力做出贡献。这就是我们关注能源效率、气候行动和循环经济的原因。 | |||||||||||||||||||||||||
ASML的愿景是采用突破性技术,解决人类一些最严峻的挑战。 | ||||||||||||||||||||||||||
|
我们希望确保负责任的增长惠及我们所有的利益相关者——为所有人提供一个有吸引力的工作场所和一个负责任的供应链,推动我们生态系统的创新,并成为我们社区的重要合作伙伴。 | |||||||||||||||||||||||||
|
|
我们承诺履行我们的责任,并通过我们对综合治理、利益攸关方参与和透明报告的关注,在我们开展业务的方式中充分确定这些责任。 | ||||||||||||||||||||||||
ASML2022年年度报告
|
环境、社会和治理 | 战略报告 | 治理 | 金融 |
71
|
|||||||||||||||
我们关于ESG可持续发展的重要议题 |
|
|
|
|||||||||||||||||||||||||||||||||||||||||||||||||||
步骤1:了解上下文 | 第2步:确定 影响 |
步骤3:评估影响的重要性 | 步骤4:确定最重大影响的优先次序 | ||||||||||||||||||||||||||||||||||||||||||||||||||
|
|
||||||||||||||||||||||||||||||||||||||||||||||||||||
专题清单,正面和负面,实际和潜在,简短 和长期影响 |
对其规模、范围和补救能力的肯定和否定 | 大多数实质性专题影响战略和长期目标 | |||||||||||||||||||||||||||||||||||||||||||||||||||
股东 | 客户 | ||||||||||||||||||||||||||||||||||||||||||||||||||||
|
|
||||||||||||||||||||||||||||||||||||||||||||||||||||
雇员 | 供应商 | ||||||||||||||||||||||||||||||||||||||||||||||||||||
|
|||||||||||||||||||||||||||||||||||||||||||||||||||||
社会 | |||||||||||||||||||||||||||||||||||||||||||||||||||||
2021-2022年可持续发展专题清单的主要变化(步骤2:查明影响) | ||||||||||||||||||||
2022年专题 | 2021年专题 | |||||||||||||||||||
Environmental |
–循环经济
|
–废物管理
–循环经济:再利用
–循环经济:循环利用
|
||||||||||||||||||
Environmental |
–能源管理和碳足迹:供应链
–能源管理和碳足迹:业务
|
–能源管理业务
|
||||||||||||||||||
–能源管理和碳足迹:产品使用和下游
|
–能源管理产品
|
|||||||||||||||||||
Environmental |
–生物多样性
|
(无) | ||||||||||||||||||
社会 |
–创新生态系统
|
–知识产权保护
–创新管理
–创新伙伴关系
|
||||||||||||||||||
社会 |
–人才吸引、员工参与和保留
|
–吸引和留住人才
–员工敬业度
|
||||||||||||||||||
社会 |
–负责任的供应链和产品管理
|
–负责任的供应链
–产品管理
|
||||||||||||||||||
社会 |
–多样性和包容性
–职业健康与安全
–负责任的供应链和产品管理
|
–人权
|
||||||||||||||||||
ASML2022年年度报告
|
环境、社会和治理继续
|
战略报告 | 治理 | 金融 |
72
|
|||||||||||||||
我们关于ESG可持续发展的重要议题(续) |
ASML2022年年度报告
|
环境、社会和治理继续
|
战略报告 | 治理 | 金融 |
73
|
|||||||||||||||
我们关于ESG可持续发展的重要议题(续) |
材料专题20221
|
|||||||||||||||||||||||
主题名称 | 专题定义(所涉影响) | 正面或负面影响 | 实际或潜在影响 | 影响地区价值链 | |||||||||||||||||||
能源管理和碳足迹–产品使用和下游 | a)能效产品(EUV、DUV) b)能源消耗(EUV、DUV) c)范围3下游排放 |
负 | 实际 | 下游客户和社会 | |||||||||||||||||||
能源管理和碳足迹–供应链 | a)能源管理供应链 b)范围3上游排放 |
负 | 实际 | 上游供应商和合作伙伴 | |||||||||||||||||||
能源管理和碳足迹–运营 | a)自有建筑物和工厂的能源使用和管理 b)减少能源消耗 c)为我们的业务使用Renewable能源 d)由此产生的范围1和范围2 GHG排放量 |
负 | 实际 | 自有业务 | |||||||||||||||||||
循环经济 | a)通过作业产生的废物(例如来自零件、包装、建筑的废物, 危险废物和其他直接处置的废物) b)使用不可再生材料和资源 |
负 | 实际 | 整个价值链 | |||||||||||||||||||
c)可再生材料和资源的使用 d)减少和管理作业产生的废物(例如回收、再利用和废物)的措施 转自处置) e)减少材料使用并转向产品和材料流通的措施 |
积极 | 实际 | 整个价值链 | ||||||||||||||||||||
多样性和包容性 | a)劳动力性别多样性 b)治理机构的多样性 c)劳动力包容性 d)薪酬平等,即妇女与男子的基本工资和报酬之比 e)新雇员、晋升和更替的多样性(年龄、性别、文化背景等) |
积极 | 实际 | 自有业务 | |||||||||||||||||||
人才吸引、员工参与和保留 | a)新雇员雇用和雇员更替 b)工作条件,包括工作时间、休息时间、假期、解雇惯例、产假 保护、支持集体谈判决定工资等。 c)薪酬做法,包括这些做法与法律和行业最低标准之间的关系,是否 它们使雇员能够满足他们的基本需要,如何补偿加班费等。 d)其他福利,包括人寿保险、医疗保健、残疾和伤残保险、父母 休假、退休金等。 |
积极 | 实际 | 自有业务 | |||||||||||||||||||
职业健康与安全 | a)工伤、健康不良和福利 b)与工作有关的危险和风险,包括查明、评估和采取措施 管理这些风险 c)安全文化,包括工人参与、协商、交流和培训 职业健康与安全 |
负 | 潜力 | 自有业务 | |||||||||||||||||||
负责任的供应链和产品管理 | a)供应链中的社会影响(如健康和安全、工作条件、童工等) 和采取的行动 b)供应链中的环境影响(例如污染、用水等)和所采取的行动 c)供应商ESG标准和筛选 d)供应商ESG绩效 e)产品设计和产品设计对供应链中环境和社会方面的影响 工程 |
负 | 潜力 | 上游供应商和合作伙伴 |
ASML2022年年度报告
|
环境、社会和治理继续
|
战略报告 | 治理 | 金融 |
74
|
|||||||||||||||
我们关于ESG可持续发展的重要议题(续) |
主题名称 | 专题定义(所涉影响) | 正面或负面影响 | 实际或潜在影响 | 影响地区价值链 | |||||||||||||||||||
创新生态系统 | a)创新伙伴关系 b)创新管道 c)实物支助开办和扩大规模 d)欧盟公私研发创新项目 e)知识管理 |
积极 | 实际 | 整个价值链 | |||||||||||||||||||
社区参与 | a)对当地社区的影响,包括住房、人才管道(区域)、流动性和基础设施, 社会凝聚力、邻居(当地)影响 |
负 | 实际 | 自有业务 | |||||||||||||||||||
b)地方社区的影响,包括经济增长、地方税收贡献和创造就业机会 c)慈善事业,包括地方社区参与和发展方案 |
积极 | 实际 | 自有业务 |
我们认为,越来越多的数字化为一个在环境和社会上更可持续的社会开辟了道路。 | ||
ASML2022年年度报告
|
Environmental | 战略报告 | 治理 | 金融 |
75
|
|||||||||||||||
环境一览表 | ||||||||||||||||||||
我们致力于从我们的业务和使用我们的产品和服务两方面减少我们的环境足迹。 |
我们做什么 | ||||||||
我们开发光刻技术,使制造商能够制造更节能的微芯片。减少我们在环境中的足迹并管理我们的废物——包括来自我们的运营以及使用我们的产品和服务——是我们ESG实践的关键。 | ||||||||
我们的目标 | ||||||||
随着世界继续增加对技术的依赖以解决一些最紧迫的挑战,我们的作用是通过扩大必要计算能力的可用性来帮助实现这一目标。 我们的目标是到2025年实现碳中和,在我们的业务中实现净零排放(范围1和2)。我们的目标是到2030年实现供应链的净零排放(范围3),到2040年实现客户使用我们产品的净零排放(范围3)。此外,我们的目标是到2030年实现从运营到填埋或焚烧的废物为零。 我们专注于能源效率——不仅在我们的业务中,而且通过解决半导体在运行中所需的能源数量。我们也在努力管理我们自己的废物流,改善我们价值链的循环。 我们的行动特别是与两个可持续发展目标密切相关– SDG 13(能源效率和气候行动)和SDG 12(循环经济)。 |
||||||||
ASML2022年年度报告
|
Environmental继续
|
战略报告 | 治理 | 金融 |
76
|
|||||||||||||||
能源效率和气候行动 | ||||||||||||||||||||
我们致力于尽可能降低我们的碳足迹,以在我们的业务和供应链中实现净零排放。除了提高我们产品的生产率外,我们还在努力减少它们的绝对能源消耗。 |
38.1千吨 | 1.11千吨 | |||||||||||||
范围1和2公司2e排放量(2025年目标:净零)
|
范围3公司2e排放强度(每欧元毛利润)
(2025年目标:1.02)
|
|||||||||||||
0.56千吨 | 11.9公吨 | |||||||||||||
净范围3公司2e 排放强度(每欧元收入)
|
范围3公司2e排放量(2040年目标:净零)
|
|||||||||||||
8.27千瓦时 | ||||||||||||||
每一片公开晶片的NXE能耗(NXE:3600D,2021年测量)(2025年目标:5.1千瓦时)
|
能源效率和气候行动 | ||||||||||||||
|
||||||||||||||
SDG目标 | 我们如何衡量 我们的表演 |
|||||||||||||
SDG目标13.1 |
|
|||||||||||||
加强所有国家应对气候相关灾害和自然灾害的复原力和适应能力 |
–范围1和2公司2e排放量
–范围3公司2e排放强度(每欧元毛利润)
–净范围3公司2e 排放强度(每欧元收入)
–范围3公司2e排放量
–每次公开晶片通过时的NXE能耗
|
|||||||||||||
ASML2022年年度报告
|
Environmental继续
|
战略报告 | 治理 | 金融 |
77
|
|||||||||||||||
能源效率和气候行动(续) |
下图说明了我们在价值链中实现净零排放的历程: |
我们在价值链中实现净零排放的旅程 |
|
||||||||||
我们的目标是,到2040年,在我们的价值链中,就我们的每一个影响领域实现净零排放,实现以下里程碑:
–2025年:净零范围1 + 2排放量
–2025年:商务旅行和通勤产生的净零范围3排放
–2030年:与我们的供应商合作,将净范围3上游排放量降至零
–2040:与我们的客户和同行合作,将产品使用产生的净范围3排放量降至零
我们实现净零排放的方法基于四个支柱:
1.分析能源使用和温室气体(GHG)排放以了解改进方案
2.在能源效率方面进行创新,并重新设计我们的资产、产品和工艺,以尽量减少对环境的影响
|
|||||||||||
3.旨在引领向100%可信Renewable能源的转变
|
||
4.如果没有其他合理的改进行动,则补偿剩余排放量以实现我们的目标
|
||
我们认识到,我们不能单独做到这一点,这就是我们与员工、供应商、客户、同行和社会密切合作的原因。
我们利用气候相关财务信息披露工作队(TCFD)的评估准则,确定和评估气候相关风险和机遇的影响。
|
||
阅读更多: | ||
我们的TCFD建议:与气候有关的披露,可在www.asml.com上查阅。 | ||
ASML2022年年度报告
|
Environmental继续
|
战略报告 | 治理 | 金融 |
78
|
|||||||||||||||
能源效率和气候行动(续) | ||||||||||||||||||||
步入正轨或达到目标•
持续的重点领域n
|
进度跟踪 | ||||||||||||||||||||||||||||||||
专题 | 2025年目标 | 业绩指标 | 2020 | 2021 | 2022 | 现状 | ||||||||||||||||||||||||||
气候行动 | 净零 | 范围1 –我们业务中化石燃料的直接排放(千吨) | 15.4 | 19.3 | 17.3 | • | ||||||||||||||||||||||||||
净零 |
范围2 –能源消费产生的间接排放(千吨)[以市场为基础]2
|
0.0 | 20.1 | 20.8 | • | |||||||||||||||||||||||||||
|
净零(2040) | 范围3 –总价值链的间接排放量(千吨) | 8,800.0 | 11,400.0 | 11,900.0 | • | ||||||||||||||||||||||||||
总足迹(千吨)1
|
8,815.4 | 11,439.4 | 11,938.1 | |||||||||||||||||||||||||||||
不适用 |
范围3公司2e排放强度(每百万欧元收入)
|
0.63 | 0.61 | 0.56 | 不适用 | |||||||||||||||||||||||||||
1.02 |
范围3公司2e排放强度(每欧元毛利润)
|
1.29 | 1.16 | 1.11 | • | |||||||||||||||||||||||||||
不适用 | 项目减少GHG排放量(千吨) | 不适用 | 不适用 | 2.6 | 不适用 | |||||||||||||||||||||||||||
能源效率 | 5.1 | 产品–每片NXE能耗(单位:千瓦时) |
9.64(NXE:3400C)
|
8.27(NXE:3600D)
|
8.27(NXE:3600D) | • | ||||||||||||||||||||||||||
不适用 | 产品–每片晶片的NXT能耗(单位:kWh) |
0.45(NXT:2050i)
|
0.48(NXT:1980Ei)
|
0.46 NXT:2100i
|
不适用 | |||||||||||||||||||||||||||
|
不适用 | 能源消耗(以TJ计) | 1,412 | 1,689 | 1,633 | 不适用 | ||||||||||||||||||||||||||
100 TJ |
世界各地通过项目节省的能源(以TJ为单位)3
|
113.9 | 12.7 | 19.0 | • | |||||||||||||||||||||||||||
100% | 可再生电力(占购电总量) | 100 | % | 92 | % | 91 | % | • | ||||||||||||||||||||||||
(10)% | 能源消耗(NXE)(按2018年基线的百分比减少1.4兆瓦) |
(6)%(NXE:3400C)
|
(6)%(NXE:3600D)
|
(6)%(NXE:3600D) | • | |||||||||||||||||||||||||||
不适用 | 吞吐量(单位:wph)(NXE) |
136(NXE:3400C)
|
160(NXE:3600D)
|
160(NXE:3600D) | 不适用 | |||||||||||||||||||||||||||
(60)% | 每一张公开晶片通行证的能耗(NXE)(按2018年基线的百分比减少) |
(26)%(NXE:3400C)
|
(37)%(NXE:3600D)
|
(37)%(NXE:3600D) | • |
ASML2022年年度报告
|
Environmental继续
|
战略报告 | 治理 | 金融 |
79
|
|||||||||||||||
能源效率和气候行动(续) | ||||||||||||||||||||
能源管理和碳足迹:业务(范围1和2) |
ASML2022年年度报告
|
Environmental继续
|
战略报告 | 治理 | 金融 |
80
|
|||||||||||||||
能源效率和气候行动(续) |
下表包括六个支持总体规划的关键项目,将有助于在2021年至2025年期间实现节约: | ||||||||||||||||||||
关键项目 | 地点 | 节能总量估计数–年度 (TJ) |
估计天然气减少量(TJ) | 电力减少估计数 (TJ) |
||||||||||||||||
能源网 | 维尔德霍芬 | 50 | 40 | 10 | ||||||||||||||||
实施绝热加湿和消除蒸汽生成 | 维尔德霍芬 | 12 | 12 | 0 | ||||||||||||||||
可再生能源发电(太阳能电池板) | 维尔德霍芬 | 3 | 0 | 3 | ||||||||||||||||
现场可再生能源发电 (太阳能电池板) |
圣地亚哥 | 8 | 0 | 8 | ||||||||||||||||
更换冷水机 | 威尔顿 | 3 | 0 | 3 | ||||||||||||||||
暖通空调能耗和改进(设定点) | 台湾 | 3 | 0 | 3 | ||||||||||||||||
合计 | 79 | 52 | 27 | |||||||||||||||||
ASML2022年年度报告
|
Environmental继续
|
战略报告 | 治理 | 金融 |
81
|
|||||||||||||||
能源效率和气候行动(续) | ||||||||||||||||||||
能源管理和碳足迹:供应链、商务旅行和通勤(范围3) |
ASML2022年年度报告
|
Environmental继续
|
战略报告 | 治理 | 金融 |
82
|
|||||||||||||||
能源效率和气候行动(续) | ||||||||||||||||||||
能源管理和碳足迹:我们客户的产品使用(范围3) |
ASML2022年年度报告
|
Environmental继续
|
战略报告 | 治理 | 金融 |
83
|
|||||||||||||||
能源效率和气候行动(续) |
平台1
|
DUV 沉浸感 |
|||||||||||||||||||||||||
系统类型 | NXT:1980Di | NXT:2000i | NXT:2050i | NXT:1980Ei | NXT:1960Bi + PEP-B | NXT:2100i | ||||||||||||||||||||
能源计量年份 | 2015 | 2017 | 2020 | 2021 | 2021 | 2022 | ||||||||||||||||||||
能源消耗(兆瓦) | 0.14兆瓦 | 0.14兆瓦 | 0.13兆瓦 | 0.14兆瓦 | 0.13兆瓦 | 0.14兆瓦 | ||||||||||||||||||||
吞吐量(wph) | 275 | 275 | 295 | 295 | 250 | 295 | ||||||||||||||||||||
每次外露晶片通过的能源使用(单位:kWh) | 0.51千瓦时 | 0.51千瓦时 | 0.45千瓦时 | 0.48千瓦时 | 0.51千瓦时 | 0.46千瓦时 | ||||||||||||||||||||
平台1
|
DUV 干 |
YieldStar | ||||||||||||||||||||||||||||||
系统类型 | XT:860M | XT:1460 | NXT:1470 | XT:860N | NXT:870 | YS350E | YS375F | YS-380 | ||||||||||||||||||||||||
能源计量年份 | 2017 | 2020 | 2020 | 2022 | 2022 | 2017 | 2019 | 2020 | ||||||||||||||||||||||||
能源消耗(兆瓦) | 0.07兆瓦 | 0.06兆瓦 | 0.11兆瓦 | 0.06兆瓦 | 0.12兆瓦 | 0.01兆瓦 | 0.01兆瓦 | 0.01兆瓦 | ||||||||||||||||||||||||
吞吐量(wph) | 240 | 209 | 277 | 260 | 330 | 不适用 | 不适用 | 不适用 | ||||||||||||||||||||||||
每次外露晶片通过的能源使用(单位:kWh)1
|
0.28千瓦时 | 0.27千瓦时 | 0.38千瓦时 | 0.24千瓦时 | 0.36千瓦时 | 不适用 | 不适用 | 不适用 | ||||||||||||||||||||||||
平台1
|
EUV
20 mJ/cm2剂量
|
EUV
30 mJ/cm2剂量
|
||||||||||||||||||||||||
系统类型 | NXE:3350B | NXE:3400B | NXE:3400C | NXE:3600D | ||||||||||||||||||||||
能源计量年份 | 2015 | 2018 | 2020 | 2021 | ||||||||||||||||||||||
能源消耗(兆瓦) | 1.15兆瓦 | 1.40兆瓦 | 1.31兆瓦 | 1.32兆瓦 | ||||||||||||||||||||||
吞吐量(wph) | 59 | 107 | 136 | 160 | ||||||||||||||||||||||
每次外露晶片通过的能源使用(单位:kWh) | 19.49千瓦时 | 13.08千瓦时 | 9.64千瓦时 | 8.27千瓦时 | ||||||||||||||||||||||
1.以mJ为单位的剂量能量是指每次照射每厘米所需的能量2.
|
ASML2022年年度报告
|
Environmental继续
|
战略报告 | 治理 | 金融 |
84
|
|||||||||||||||
能源效率和气候行动(续) | ||||||||||||||||||||
EUV的高级图案化有助于限制能源和水的使用以及GHG排放的增长 |
创建EUV灯 |
ASML2022年年度报告
|
Environmental继续
|
战略报告 | 治理 | 金融 |
85
|
|||||||||||||||
循环经济 | ||||||||||||||||||||
最大限度地减少浪费,最大限度地利用资源,从中获取最大价值 我们使用的材料,并在产品的整个生命周期中重新调整产品的用途 |
7.81亿欧元 | |||||
重复使用部件的节余 | |||||
循环经济 | ||||||||||||||
|
||||||||||||||
SDG目标 |
我们如何衡量 我们的表演 |
|||||||||||||
SDG目标12.2 |
|
|||||||||||||
到2030年,实现可持续管理 和有效利用自然资源 |
–回收率
–供应商支出包括对可持续发展的承诺(意向书)
|
|||||||||||||
SDG目标12.5 |
|
|||||||||||||
到2030年,通过预防、减少、再循环和再利用,大幅度减少废物的产生 |
–减少废物
–零部件重复使用增加
–报废零件和包装的减少
–仍在外地活动的系统的寿命延长
|
|||||||||||||
ASML2022年年度报告
|
Environmental继续
|
战略报告 | 治理 | 金融 |
86
|
|||||||||||||||
循环经济(续) |
ASML2022年年度报告
|
Environmental继续
|
战略报告 | 治理 | 金融 |
87
|
|||||||||||||||
循环经济(续) | ||||||||||||||||||||
步入正轨或达到目标•
持续的重点领域n
|
进度跟踪 | ||||||||||||||||||||||||||||||||
专题 | 2025年目标 | 业绩指标 | 2020 | 2021 | 2022 | 现状 | ||||||||||||||||||||||||||
循环经济 | >95% | 过去30年销售的系统中仍活跃在该领域的百分比 | 不适用 | 94 | % | 95 | % | • | ||||||||||||||||||||||||
95% | 从外地和工厂退回的零件的再利用率 | 不适用 | 85 | % | 87 | % | • | |||||||||||||||||||||||||
|
无目标 |
重复使用部件的节余(百万欧元)1,2
|
551 | 686 | 781 | 不适用 | ||||||||||||||||||||||||||
无目标 |
报废零件和包装的价值(百万欧元)2
|
不适用 | 269 | 232 | 不适用 | |||||||||||||||||||||||||||
209千克/欧元m | 将业务(不包括建筑)产生的废物总额归为收入 | 360 | 305 | 315 | • | |||||||||||||||||||||||||||
90% | 回收率(不包括建筑) | 85 | % | 77 | % | 75 | % | n | ||||||||||||||||||||||||
无目标 |
作业产生的废物总额(不包括建筑)3
|
5,026 | 5,679 | 6,675 | 不适用 |
ASML2022年年度报告
|
Environmental继续
|
战略报告 | 治理 | 金融 |
88
|
|||||||||||||||
循环经济(续) | ||||||||||||||||||||
减少我们业务中的浪费 |
无害废物回收 | 71 | % | |||||||||
处置的非危险废物 | 24 | % | |||||||||
危险废物回收 | 4 | % | |||||||||
处置的危险废物 | 1 | % |
ASML2022年年度报告
|
Environmental继续
|
战略报告 | 治理 | 金融 |
89
|
|||||||||||||||
循环经济(续) |
95% | |||||
在我们2022年的总废物中 非危险废物 |
木材 | 31 | % | |||||||||
一般废物 | 24 | % | |||||||||
纸和纸板 | 13 | % | |||||||||
电子学 | 6 | % | |||||||||
金属 | 7 | % | |||||||||
其他非危险废物 | 5 | % | |||||||||
塑料 | 5 | % | |||||||||
有机废物 | 5 | % | |||||||||
建筑垃圾 | 4 | % |
危险液体 | 91 | % | |||||||||
其他危险废物(如包装、过滤器、灯具等) | 6 | % | |||||||||
清洁湿巾 | 2 | % | |||||||||
电池 | 1 | % |
ASML2022年年度报告
|
Environmental继续
|
战略报告 | 治理 | 金融 |
90
|
|||||||||||||||
循环经济(续) |
ASML2022年年度报告
|
Environmental继续
|
战略报告 | 治理 | 金融 |
91
|
|||||||||||||||
循环经济(续) | ||||||||||||||||||||
再利用部件和材料 |
ASML2022年年度报告
|
Environmental继续
|
战略报告 | 治理 | 金融 |
92
|
|||||||||||||||
循环经济(续) |
87% | ||
2022年缺陷零件的再利用率 |
ASML2022年年度报告
|
Environmental继续
|
战略报告 | 治理 | 金融 |
93
|
|||||||||||||||
循环经济(续) |
4倍 | |||||
2022年我们当地维修中心处理的价值 |
ASML2022年年度报告
|
Environmental继续
|
战略报告 | 治理 | 金融 |
94
|
|||||||||||||||
循环经济(续) | ||||||||||||||||||||
翻新成熟产品 |
在过去30年销售的所有系统中,95%的系统仍然活跃在该领域。
|
||||||||
ASML2022年年度报告
|
Environmental继续
|
战略报告 | 治理 | 金融 |
95
|
|||||||||||||||
循环经济(续) | ||||||||||||||||||||
水管理 |
ASML2022年年度报告
|
社会 | 战略报告 | 治理 | 金融 |
96
|
|||||||||||||||
社交一目了然 | ||||||||||||||||||||
我们的目标是为我们的员工、我们周围的社区以及参与我们创新生态系统和供应链的每个人在社会中发挥积极作用。 |
我们做什么 | ||||||||||||||
作为一家跨国科技公司,我们直接和间接地影响着许多人的生活。我们希望在社会中发挥积极作用——为我们的员工、我们的供应链、参与我们创新生态系统的每个人以及我们周围的社区服务。 |
||||||||||||||
我们的目标 | ||||||||||||||
我们与我们的利益攸关方密切合作,合作实现我们四个重点领域的目标。 我们的目标是确保负责任的增长惠及所有人。为了保持我们快速的创新步伐并确保我们作为一家公司的长期成功,我们需要吸引和留住最优秀的人才,并提供最好的员工体验。我们的目标是成为一个有价值和值得信赖的伙伴,改善所有人的生活质量,并支持处境不利社区的人们。 通过我们的重点领域,我们以各种方式支持五个不同的可持续发展目标。 |
对所有人都有吸引力的工作场所 |
在页面上阅读更多内容97>
|
||||||||||||||||
|
SDG 4和8 |
–激发统一文化
–最佳员工体验
–促成强有力的领导
–确保员工安全
|
|||||||||||||||
确保包容和公平的优质教育,促进所有人的终身学习机会/促进持续、包容和可持续的经济增长、充分和生产性就业以及人人有体面工作 | |||||||||||||||||
我们的供应链 |
在页面上阅读更多内容109>
|
||||||||||||||||
|
SDG 8和12 |
–供应商业绩和风险管理
–负责任的供应链
|
|||||||||||||||
促进持续、包容和可持续的经济增长、充分和生产性就业以及人人有体面工作/确保可持续的消费和生产模式 | |||||||||||||||||
创新生态系统 |
在页面上阅读更多内容118>
|
||||||||||||||||
|
SDG 9 |
–研究和发展伙伴关系
–支持初创企业和扩大规模
|
|||||||||||||||
建设有复原力的基础设施,促进包容性和可持续的工业化,并促进创新 | |||||||||||||||||
我们社区的重要合作伙伴 |
在页面上阅读更多内容124>
|
||||||||||||||||
|
SDG 4和11 |
–教育
–艺术与文化
–地方外联
|
|||||||||||||||
确保包容性和公平的优质教育,促进所有人的终身学习机会/使城市和人类住区具有包容性、安全性、复原力和可持续性 |
ASML2022年年度报告
|
社会继续
|
战略报告 | 治理 | 金融 |
97
|
|||||||||||||||
对所有人都有吸引力的工作场所 | ||||||||||||||||||||
为集体利益赋予个人权力,以确保我们的员工为我们工作感到自豪,并参与我们作为一家公司的抱负。 |
对所有人都有吸引力的工作场所 | ||||||||||||||||||||
|
|
|||||||||||||||||||
SDG目标 | 我们如何衡量我们的表现 | |||||||||||||||||||
SDG目标4.3 |
|
|||||||||||||||||||
到2030年,确保所有妇女和男子平等获得负担得起的优质技术、职业和高等教育,包括大学 |
–雇员培训和发展指标
|
|||||||||||||||||||
SDG目标8.1 | ||||||||||||||||||||
根据国情保持人均经济增长,特别是在最不发达国家保持每年至少7%的国内生产总值增长 |
–财务业绩
|
|||||||||||||||||||
SDG目标8.2 | ||||||||||||||||||||
通过多样化、技术升级和创新,包括通过注重高附加值和劳动密集型部门,实现更高水平的经济生产力 |
–员工敬业度得分
|
|||||||||||||||||||
SDG目标8.5 | ||||||||||||||||||||
到2030年,实现所有妇女和男子,包括青年和残疾人的充分生产性就业和体面工作,同工同酬 |
–包括多样性和包容性在内的劳动力数据
–公平薪酬薪酬比率
|
|||||||||||||||||||
SDG目标8.6 | ||||||||||||||||||||
到2020年,大幅度减少未就业、未受教育或未受培训的青年比例 |
–员工流失率
–新雇员
|
|||||||||||||||||||
SDG目标8.8 | ||||||||||||||||||||
保护劳工权利,促进所有工人,包括移徙工人,特别是妇女的安全和有保障的工作环境移民和不稳定就业者
|
–雇员安全指标
|
|||||||||||||||||||
ASML2022年年度报告
|
社会继续
|
战略报告 | 治理 | 金融 |
98
|
|||||||||||||||
人人享有有吸引力的工作场所(续) | ||||||||||||||||||||
步入正轨或达到目标•
持续的重点领域n
|
进度跟踪 | ||||||||||||||||||||||||||||||||
专题 | 2025年目标 | 业绩指标 | 2020 | 2021 | 2022 | 现状 | ||||||||||||||||||||||||||
对所有人都有吸引力的工作场所 | 与基准持平 目标:表现最好的25%的公司比基准低2% |
员工敬业度得分 | 80 | % | 78 | % | 78 | % | • | |||||||||||||||||||||||
|
||||||||||||||||||||||||||||||||
无目标 | 雇员增长(新雇员和比率) |
1,932 (8%)
|
4,373 (15%)
|
7,130 (21%)
|
不适用 | |||||||||||||||||||||||||||
<7% | 流失率 | 3.8 | 5.4 | 6.0 | • | |||||||||||||||||||||||||||
20%(2024年) | 性别多样性–%女性流入工作等级13 + | 不适用 | 12% | 35% | • | |||||||||||||||||||||||||||
12%(2024年) | 性别多样性–%女性工作等级13 + | 不适用 | 8 | % | 10% | • | ||||||||||||||||||||||||||
NL前10名 台湾20强 韩国20强 美国前75名 中国百强 |
对人才的吸引力(雇主品牌得分)1
|
NL 10
台湾22
S韩国24
美国3177
中国168
|
NL 6
台湾6
S韩国214
美国3177
中国148
|
NL 4
台湾6
韩国n/a
美国159
中国188
|
n | |||||||||||||||||||||||||||
0.16 (2022) | 可记录事故率 | 0.18 | 0.17 | 0.18 | n | |||||||||||||||||||||||||||
目标相对于表现最好的25%公司的得分为+/-3 %)(2024年) | 纳入指数 | 73 | % | 83 | % | 85 | % | • | ||||||||||||||||||||||||
23%(2024年) | 流入%女性 | 23 | % | 21 | % | 24% | • | |||||||||||||||||||||||||
无目标 | 雇员总数 |
总计26,481
男性83%
女性17%
亚洲6,057
欧洲、中东和非洲14,714
美国5,710
|
总计30,842
男性82%
女性18%
亚洲7,430
欧洲、中东和非洲17,230
美国6,182
|
总计37,643
男性80%
女性19%
未知1%
亚洲8,871
欧洲、中东和非洲21,267
美国7,505
|
不适用 | |||||||||||||||||||||||||||
无目标 | 国籍数目 | 120 | 122 | 143 | 不适用 |
ASML2022年年度报告
|
社会继续
|
战略报告 | 治理 | 金融 |
99
|
|||||||||||||||
人人享有有吸引力的工作场所(续) | ||||||||||||||||||||
激发统一文化 |
我们的多样性和包容性战略 | |||||||||||||||||
我们的路线图侧重于三个关键领域: | |||||||||||||||||
人才 | 领导 | ||||||||||||||||
通过确保员工受到重视、得到反馈和支持来吸引和留住员工 可以发展他们的事业 |
使我们的领导人能够表现出承诺、问责和榜样行为,以推动 纳入其团队 |
||||||||||||||||
文化 | |||||||||||||||||
培养和促进一种包容性文化,使员工有能力挑战规范并加强协作 |
ASML2022年年度报告
|
社会继续
|
战略报告 | 治理 | 金融 |
100
|
|||||||||||||||
人人享有有吸引力的工作场所(续) | ||||||||||||||||||||
|
|||||||||||
24% | |||||||||||
到2022年,我们的新员工中有女性 | |||||||||||
85% | |||||||||||
2022年纳入评分 |
ASML2022年年度报告
|
社会继续
|
战略报告 | 治理 | 金融 |
101
|
|||||||||||||||
人人享有有吸引力的工作场所(续) | ||||||||||||||||||||
最佳员工体验 |
我们希望在我们的所有站点为我们的员工提供最好的员工体验,使他们能够发展自己的才能,感受到尊重,并尽其所能地工作。 | ||
|
ASML2022年年度报告
|
社会继续
|
战略报告 | 治理 | 金融 |
102
|
|||||||||||||||
人人享有有吸引力的工作场所(续) | ||||||||||||||||||||
我们支持员工保持健康、高效和平衡的生活。 |
ASML2022年年度报告
|
社会继续
|
战略报告 | 治理 | 金融 |
103
|
|||||||||||||||
人人享有有吸引力的工作场所(续) | ||||||||||||||||||||
|
7,130 | ||
2022年新发薪雇员(2021年为4373人)
|
||
21% | ||
2022年新雇员比率(2021年为15%)
|
ASML2022年年度报告
|
社会继续
|
战略报告 | 治理 | 金融 |
104
|
|||||||||||||||
人人享有有吸引力的工作场所(续) | ||||||||||||||||||||
1.上表中的2020年至2022年FTE不包括通过收购Berliner Glas(ASML Berlin GmbH)获得的FTE。
|
87%的新员工表示,他们在经理的大力支持下,在2022年有了良好的入职体验。
|
||
ASML2022年年度报告
|
社会继续
|
战略报告 | 治理 | 金融 |
105
|
|||||||||||||||
人人享有有吸引力的工作场所(续) | ||||||||||||||||||||
未来ASML CLA | ||||||||
在荷兰,我们继续争取免除《Metalektro集体劳动协议》(CLA),以便发展我们自己的CLA。我们在全球市场的独特地位,我们的规模和增长,以及我们非常独特的员工群体,以及我们为提供我们的产品而汇集的大量能力和活动,都产生了对我们自己的劳动条件方法的需求。未来ASML CLA的目的是提供一套符合我们所有员工的多样性和需求的劳动条件。 |
ASML2022年年度报告
|
社会继续
|
战略报告 | 治理 | 金融 |
106
|
|||||||||||||||
人人享有有吸引力的工作场所(续) | ||||||||||||||||||||
促成强有力的领导 |
随着我们公司的发展,对角色和期望的明确需求也在增加。
|
||
ASML2022年年度报告
|
社会继续
|
战略报告 | 治理 | 金融 |
107
|
|||||||||||||||
人人享有有吸引力的工作场所(续) | ||||||||||||||||||||
确保员工安全 |
|
ASML2022年年度报告
|
社会继续
|
战略报告 | 治理 | 金融 |
108
|
|||||||||||||||
人人享有有吸引力的工作场所(续) | ||||||||||||||||||||
|
ASML2022年年度报告
|
社会继续
|
战略报告 | 治理 | 金融 |
109
|
|||||||||||||||
我们的供应链 | ||||||||||||||||||||
通过确保我们以可持续和负责任的方式开展业务,为我们的世界级供应商网络实现我们努力追求的创新设定更高的标准。 |
124亿欧元 | 5,000 | ||||||||||||||||
采购总支出
39%荷兰
41%的欧洲、中东和非洲(不包括NL)
13%北美洲
7%亚洲
|
供应商共计
1,600荷兰
750个欧洲、中东和非洲(不包括NL)
1,300北美
1,350亚洲
|
||||||||||||||||
59% | |||||||||||||||||
可持续发展承诺(意向书)涵盖的供应商支出百分比(2025年目标:80%) | |||||||||||||||||
在这一节 | |||||||||||||||||
我们在2022年的整体表现 | |||||||||||||||||
供应商业绩和风险管理 | |||||||||||||||||
负责任的供应链 |
我们的供应链 | ||||||||||||||||||||
|
|
|||||||||||||||||||
SDG目标 | 我们如何衡量 我们的表演 |
|||||||||||||||||||
SDG目标8.8 |
|
|||||||||||||||||||
保护劳工权利,促进所有工人,包括移徙工人,特别是移徙女工和不稳定就业者的安全和有保障的工作环境 |
–遵守RBA行为守则
–RBA自我评估问卷的填写
–对可持续性要素具有高风险的供应商进行了评价并商定了后续行动
|
|||||||||||||||||||
SDG目标12.2 |
|
|||||||||||||||||||
到2030年,实现自然资源的可持续管理和有效利用 |
–供应商支出包括对可持续发展的承诺(意向书)
|
|||||||||||||||||||
ASML2022年年度报告
|
社会继续
|
战略报告 | 治理 | 金融 |
110
|
|||||||||||||||
我们的供应链(续) |
ASML的供应链战略的核心是与我们的供应商和合作伙伴建立长期的关系和密切的合作。 | ||
我们要求我们的供应商:
|
|||||
1.从供应商那里获得材料,以便为客户增加产量
2.通过开发和维护一流的能力和能力,实现我们的产品路线图,以确保最先进的技术和快速的上市时间
3.通过高效率和专心致志的业务推动降低成本、提高质量和能力
4.建立足够广泛的客户基础和规模,以分担和分散市场波动周期的风险,并提高灵活性和成本竞争力
5.为我们的可持续发展战略作出积极贡献
|
|
ASML2022年年度报告
|
社会继续
|
战略报告 | 治理 | 金融 |
111
|
|||||||||||||||
我们的供应链(续) | ||||||||||||||||||||
步入正轨或达到目标•
持续的重点领域n
|
进度跟踪 | ||||||||||||||||||||||||||||||||
专题 | 2025年目标 | 业绩指标 | 2020 | 2021 | 2022 | 现状 | ||||||||||||||||||||||||||
我们的供应链 | 80% | 可持续发展承诺(意向书)涵盖的供应商支出百分比) | 不适用 | 不适用 | 59 | % | • | |||||||||||||||||||||||||
|
90% | RBA自我评估完成(单位:%) | 88 | % | 89 | % | 93 | % | • | |||||||||||||||||||||||
100% | 对可持续性要素风险高的供应商进行了评估并商定了后续行动(%) | — | % | 100 | % | 100 | % | • |
ASML2022年年度报告
|
社会继续
|
战略报告 | 治理 | 金融 |
112
|
|||||||||||||||
我们的供应链(续) | ||||||||||||||||||||
供应商业绩和风险管理 |
我们对我们的供应基地进行持续的业绩和风险管理,以确保和改善业绩,并防止声誉受损。 | ||
ASML2022年年度报告
|
社会继续
|
战略报告 | 治理 | 金融 |
113
|
|||||||||||||||
我们的供应链(续) | ||||||||||||||||||||
负责任的供应链 |
|
ASML2022年年度报告
|
社会继续
|
战略报告 | 治理 | 金融 |
114
|
|||||||||||||||
我们的供应链(续) | ||||||||||||||||||||
我们在2022年的表现 | |||||||||||||||||||||||
供应商总数 | |||||||||||||||||||||||
124亿 | |||||||||||||||||||||||
总支出 | |||||||||||||||||||||||
占总支出的百分比 | |||||||||||||||||||||||
800
|
与产品有关的供应商 | 69 | % | ||||||||||||||||||||
4,200
|
与产品无关的供应商 | 31 | % |
2025年意向书目标 是80% |
||||||||||||||
2022年,总支出的59%由可持续发展意向书承诺覆盖
|
||||||||||||||
|
我们对整个供应商基础进行尽职审查,使用 RBA风险评估平台。 |
ASML2022年年度报告
|
社会继续
|
战略报告 | 治理 | 金融 |
115
|
|||||||||||||||
我们的供应链(续) | ||||||||||||||||||||
ASML供应商 |
5,000 | |||||
供应商 | |||||
124亿欧元 | |||||
总支出 |
按支出百分比划分的供应商基础地域 | |||||||||||||||||||||||||||||
1,600个供应商
|
750个供应商
|
1300个供应商
|
1350个供应商
|
||||||||||||||||||||||||||
39 | % | 41 | % | 13 | % | 7 | % | ||||||||||||||||||||||
荷兰 | 欧洲、中东和非洲(不包括荷兰) | 北美洲 | 亚洲 |
为关键业务创建的供应商风险简介, 具有重要战略意义的供应商 |
||||||||||||||||||||
86亿欧元 | ||||||||||||||||||||
216个供应商占这一支出的92%
|
||||||||||||||||||||
38亿欧元 | ||||||||||||||||||||
29家供应商占这一支出的23%
|
||||||||||||||||||||
产品相关 花费 |
与产品无关的支出 |
*主要供应商是那些占公关支出80%的供应商和任何关键业务的NPR供应商。 |
主要供应商填写的负责任商业联盟(RBA)自我评估问卷* | ||||||||||||||||||||
86亿欧元 | ||||||||||||||||||||
44个供应商占这一支出的71%
|
||||||||||||||||||||
38亿欧元 | ||||||||||||||||||||
15家供应商占这一支出的26%
|
||||||||||||||||||||
产品相关 花费 |
与产品无关的支出 |
ASML2022年年度报告
|
社会继续
|
战略报告 | 治理 | 金融 |
116
|
|||||||||||||||
我们的供应链(续) | ||||||||||||||||||||
从RBA SAQ识别出的高风险数量 | |||||||||||||||||
标准 |
RBA承诺 |
2020 | 2021 | 2022 | 主要发现 2022 |
||||||||||||
劳动 | 维护所有工人(直接和间接)的人权,并以国际社会所理解的尊严和尊重对待他们,包括国际劳工组织的八项基本公约 | 1 | 0 | 0 | |||||||||||||
健康与安全 | 尽量减少工伤和疾病的发生,并确保安全和健康的工作环境。沟通和教育对于确定和解决工作场所的健康和安全问题至关重要 | 0 | 0 | 1 | 与要求与组织类型不完全匹配的非产品相关供应商相关的结果。 | ||||||||||||
环境 | 环境责任是生产世界级产品和服务不可或缺的一部分。应尽量减少对社区、环境和自然资源的不利影响,同时保障公众的健康和安全 | 0 | 0 | 3 | 调查结果涉及:1)要求与组织类型不完全匹配的非产品相关公司;2)正在实施全公司环境方案和供应商管理的供应商;3)有政策的公司,但没有环境方案和供应商合同要求。 | ||||||||||||
道德操守 | 为履行社会责任和在行业取得成功,应坚持最高的道德标准,包括但不限于商业诚信、反贿赂和腐败、反垄断和竞争、保护隐私 | 1 | 0 | 1 | 调查结果与没有制定单独的冲突矿物政策和供应商方案有关,但该供应商却制定了供应商行为守则。 | ||||||||||||
成员和参与者致力于建立一个管理系统,以确保: | |||||||||||||||||
–遵守适用的法律、条例和客户要求
–符合守则标准
–确定和减轻业务风险
–促进持续改进
|
ASML2022年年度报告
|
社会继续
|
战略报告 | 治理 | 金融 |
117
|
|||||||||||||||
我们的供应链(续) |
|
ASML2022年年度报告
|
社会继续
|
战略报告 | 治理 | 金融 |
118
|
|||||||||||||||
创新生态系统 | ||||||||||||||||||||
我们不会孤立地进行创新。我们在合作伙伴和协作知识网络的帮助下共同开发技术。 |
33亿欧元 | 63% | ||||||||||||||||
研发投资 (2025年目标:超过40亿) |
研发支出较2019年基准年增长% (2025年目标:> 100%) |
||||||||||||||||
1470万欧元 | 100万欧元 | ||||||||||||||||
对欧盟研究项目的贡献 | 重视初创企业和扩大规模的实物支持 | ||||||||||||||||
在这一节 | |||||||||||||||||
我们在2022年的整体表现 | |||||||||||||||||
研究和发展伙伴关系 | |||||||||||||||||
支持初创企业和扩大规模 |
创新生态系统 | |||||||||||||||||
|
|||||||||||||||||
SDG目标 | 我们如何衡量我们的表现 | ||||||||||||||||
SDG目标9.1 |
|
||||||||||||||||
发展高质量、可靠、可持续和有复原力的基础设施,包括区域和跨界基础设施,以支持经济发展和人类福祉,重点是人人享有负担得起的公平机会 |
–支持初创企业达到Star级别
–支持扩大规模项目
–在欧盟项目中的合作
|
||||||||||||||||
SDG目标9.4 |
|
||||||||||||||||
到2030年,升级基础设施和改造工业,使其具有可持续性,提高资源使用效率,更多地采用清洁和无害环境的技术和工业过程,所有国家根据各自的能力采取行动 |
–与研究伙伴的合作
–我们的产品每通过一次晶圆测量的能源效率
|
||||||||||||||||
SDG目标9.5 |
|
||||||||||||||||
加强科学研究,提高所有国家,特别是发展中国家工业部门的技术能力。对发展中国家而言,这包括到2030年鼓励创新和增加每百万人的研究和开发工作者人数,以及公共和私人研究和开发支出 |
–研发投资
|
||||||||||||||||
ASML2022年年度报告
|
社会继续
|
战略报告 | 治理 | 金融 |
119
|
|||||||||||||||
创新生态系统(续) | ||||||||||||||||||||
步入正轨或达到目标•
持续的重点领域n
|
进度跟踪 | ||||||||||||||||||||||||||||||||
专题 | 2025年目标 | 业绩指标 | 2020 | 2021 | 2022 | 现状 | ||||||||||||||||||||||||||
创新生态系统 | 超过40亿欧元 | 研发投资 | 22亿欧元 | 25亿欧元 | 33亿欧元 | • | ||||||||||||||||||||||||||
>100% | 研发支出较2019年基准年增长% | 10 | % | 25 | % | 63 | % | • | ||||||||||||||||||||||||
|
无目标 | 重视初创企业和扩大规模的实物支持 | 60万欧元 | 100万欧元 | 100万欧元 | 不适用 | ||||||||||||||||||||||||||
无目标 | 开办和扩大实物支助小时数 | 1,550 | 小时 | 2,100 | 小时 | 4,180 | 小时 | 不适用 | ||||||||||||||||||||||||
>20% | 从初创公司总数(%)来看,初创公司达到了星级水平) | 16 | % | 15 | % | 12 | % | n | ||||||||||||||||||||||||
14 | 支持的扩大规模公司数目(数量) | 7 | 7 | 10 | • | |||||||||||||||||||||||||||
无目标 | 对欧盟研究项目的贡献 | 2850万欧元 | 30.3百万欧元 | 1470万欧元 | 不适用 |
ASML2022年年度报告
|
社会继续
|
战略报告 | 治理 | 金融 |
120
|
|||||||||||||||
创新生态系统(续) | ||||||||||||||||||||
研究和发展伙伴关系 |
|
33亿欧元
|
||
2022年研发投入 | ||
1470万欧元 | ||
2022年公私伙伴关系在研发方面的贡献 |
ASML2022年年度报告
|
社会继续
|
战略报告 | 治理 | 金融 |
121
|
|||||||||||||||
创新生态系统(续) |
图1:ASML的IPCEI提案涉及引入EUV0.55NA(高NA)光刻的三阶段方法中的第三步。ASML和imec已经计划进行第1和第2阶段的投资。 |
ASML2022年年度报告
|
社会继续
|
战略报告 | 治理 | 金融 |
122
|
|||||||||||||||
创新生态系统(续) | ||||||||||||||||||||
支持初创企业和扩大规模 |
ASML2022年年度报告
|
社会继续
|
战略报告 | 治理 | 金融 |
123
|
|||||||||||||||
创新生态系统(续) |
InPhocal成为HighTechXL创业建设项目校友两年后首次出售 |
到2025年,我们有望支持14个新的扩大规模项目。 |
ASML2022年年度报告
|
社会继续
|
战略报告 | 治理 | 金融 |
124
|
|||||||||||||||
我们社区的重要合作伙伴 | ||||||||||||||||||||
作为全球技术领导者和雇主,我们在我们经营所在的社区发挥着积极的作用——我们认识到,当社区蓬勃发展时,我们就会蓬勃发展。同时,我们的ASML基金会致力于通过教育和培训改善生活。 |
1150万欧元 | 13,645 | ||||
社区投资 | 志愿者的时间投入– hrs社区参与 |
4,736 | 411 | ||||
志愿者的时间投入– hrs技术推广 | 支持的项目总数 |
我们社区的重要合作伙伴 | ||||||||||||||||||||
|
|
|||||||||||||||||||
SDG目标 | 我们如何衡量 我们的表演 |
|||||||||||||||||||
SDG目标4.4 |
|
|||||||||||||||||||
到2030年,大幅增加拥有相关技能,包括技术和职业技能的青年和成年人的数量,促进就业、体面工作和创业 |
–社区参与和技术推广
|
|||||||||||||||||||
SDG目标4.5 |
|
|||||||||||||||||||
到2030年,消除教育中的性别差距,确保弱势群体,包括残疾人、土着人民和弱势儿童平等获得各级教育和职业培训 |
–ASML基金会项目
|
|||||||||||||||||||
SDG目标11.2 | ||||||||||||||||||||
到2030年,为所有人提供安全、负担得起、无障碍和可持续的交通系统,改善道路安全,特别是通过扩大公共交通,特别注意弱势群体、妇女、儿童、残疾人和老年人的需要 |
–社区参与
|
|||||||||||||||||||
SDG目标11.4 | ||||||||||||||||||||
加强努力,保护和维护世界文化和自然遗产 |
|
ASML2022年年度报告
|
社会继续
|
战略报告 | 治理 | 金融 |
125
|
|||||||||||||||
我们社区的重要伙伴(续) | ||||||||||||||||||||
步入正轨或达到目标•
持续的重点领域n
|
进度跟踪 | ||||||||||||||||||||||||||||||||
专题 | 2025年目标 | 业绩指标 | 2020 | 2021 | 2022 | 现状 | ||||||||||||||||||||||||||
我们社区的重要合作伙伴 | 无目标 | 支持ASML基金会的项目 | 22 | 22 | 21 | 不适用 | ||||||||||||||||||||||||||
无目标 | ASML基金会捐赠的价值 | 100万欧元 | 200万欧元 | 240万欧元 | 不适用 | |||||||||||||||||||||||||||
|
无目标 | 支持的项目 | 不适用 | 133 | 390 | 不适用 | ||||||||||||||||||||||||||
无目标 | 捐赠的价值 | 310万欧元 | 810万欧元 | 790万欧元 | 不适用 | |||||||||||||||||||||||||||
无目标 | 志愿服务总费用 | 27.1万欧元 | 28.3万欧元 | 1,200k欧元 | 不适用 | |||||||||||||||||||||||||||
无目标 | 志愿者的时间投入(小时)–社区参与 | 1,333 | 2,393 | 13,645 | 不适用 | |||||||||||||||||||||||||||
无目标 | 志愿者的时间投入(小时)–技术推广 | 2,936 | 1,886 | 4,736 | 不适用 |
ASML2022年年度报告
|
社会继续
|
战略报告 | 治理 | 金融 |
126
|
|||||||||||||||
我们社区的重要伙伴(续) | ||||||||||||||||||||
教育 |
ASML2022年年度报告
|
社会继续
|
战略报告 | 治理 | 金融 |
127
|
|||||||||||||||
我们社区的重要伙伴(续) | ||||||||||||||||||||
我们正在尽自己的一份力量,确保每个年龄的人都为日益数字化的未来做好准备,并确保所有年轻人都能获得技术教育,以发挥他们的潜力。 | ||||||||||||||
|
||||||||||||||
ASML2022年年度报告
|
社会继续
|
战略报告 | 治理 | 金融 |
128
|
|||||||||||||||
我们社区的重要伙伴(续) | ||||||||||||||||||||
艺术与文化 |
ASML2022年年度报告
|
社会继续
|
战略报告 | 治理 | 金融 |
129
|
|||||||||||||||
我们社区的重要伙伴(续) | ||||||||||||||||||||
ASML2022年年度报告
|
社会继续
|
战略报告 | 治理 | 金融 |
130
|
|||||||||||||||
我们社区的重要伙伴(续) | ||||||||||||||||||||
地方外联 |
对我们来说重要的是,我们在世界各地社区的每个人都可以从ASML的存在中受益并开发他们的潜力。 | ||
ASML2022年年度报告
|
社会继续
|
战略报告 | 治理 | 金融 |
131
|
|||||||||||||||
我们社区的重要伙伴(续) | ||||||||||||||||||||
ASML2022年年度报告
|
社会继续
|
战略报告 | 治理 | 金融 |
132
|
|||||||||||||||
我们社区的重要伙伴(续) | ||||||||||||||||||||
ASML基金会 |
ASML2022年年度报告
|
治理 | 战略报告 | 治理 | 金融 |
133
|
|||||||||||||||
治理一览表 |
|
||||||||||||||
我们做什么 | ||||||||||||||
我们倡导良好的综合公司治理,以便与我们的利益相关者——股东、客户、供应商、员工和社会——建立信任、尊重和互利的关系。在ESG治理部分,我们将介绍我们如何组织企业内部ESG问题的管理,以及我们通过哪些其他方式来确保我们是一家负责任的企业。 | ||||||||||||||
我们的目标 | ||||||||||||||
作为为芯片行业制造重要系统的创新者,我们有责任以身作则。我们致力于在我们经营的所有国家遵守适用的法律和条例开展我们的业务。根据我们积极从内部和外部利益攸关方获得的反馈,我们努力以最高标准的诚信和持续改进我们的治理。我们希望以诚实的态度开展业务,并在整个生态系统中开展公开对话和知识共享。
|
||||||||||||||
ASML2022年年度报告
|
治理继续
|
战略报告 | 治理 | 金融 |
134
|
|||||||||||||||
管理ESG可持续发展 | ||||||||||||||||||||
ASML2022年年度报告
|
治理继续
|
战略报告 | 治理 | 金融 |
135
|
|||||||||||||||
负责任的企业 | ||||||||||||||||||||
为集体利益赋予个人权力,以确保我们的员工为我们工作感到自豪,并参与我们作为一家公司的抱负。 |
414 | 10% | |||||||||||||
说出信息 |
性别多样性:高级(13 +)职等中女性所占百分比(2024年目标:12%) | |||||||||||||
负责任的企业 | |||||||||||||||||
|
|
||||||||||||||||
SDG目标 | 我们如何衡量我们的表现 | ||||||||||||||||
SDG目标8.7 |
|
||||||||||||||||
立即采取有效措施,消除强迫劳动,结束现代奴役和人口贩运,确保禁止和消除最恶劣形式的童工,包括招募和使用儿童兵,到2025年结束一切形式的童工 |
–发声信息的数量
|
||||||||||||||||
SDG目标8.8 | |||||||||||||||||
保护劳工权利,促进所有工人,包括移徙工人,特别是移徙女工和不稳定就业者的安全和有保障的工作环境 | |||||||||||||||||
SDG目标12.4 |
|
||||||||||||||||
到2020年,按照商定的国际框架,实现化学品和所有废物在其整个生命周期的无害环境管理,并大幅度减少其向空气、水和土壤的排放,以尽量减少其对人类健康的不利影响 |
–所用部件符合RoHs/Reach标准
|
||||||||||||||||
ASML2022年年度报告
|
治理继续
|
战略报告 | 治理 | 金融 |
136
|
|||||||||||||||
负责的事务(续) |
我们的道德操守治理包括几个层次,其中包括:
1.我们的道德操守委员会由我们的首席执行官担任主席,向审计委员会和管理委员会报告。道德操守委员会负责制定政策并监督ASML遵守法律和道德操守要求的情况。道德操守委员会定期举行会议,就相关问题提供指导,并批准相关政策。
2.我们的道德操守委员会会调查有关全球范围内可能违反ASML行为准则的重大举报。
3.我们的道德操守办公室负责监督和执行我们的道德操守计划。关于可能违反ASML行为准则的所有报告均由一名道德操守干事筛选,所有重要报告均与道德操守委员会讨论。
4.我们的道德操守组织包括员工,除了在ASML担任常规职务外,他们还在我们开展业务的所有国家/地区担任道德操守联络员。他们担任可信赖的代表,并作为雇员在当地遇到与道德操守有关的问题和关切的第一个联络点。
|
||||||||
我们的价值观——挑战、协作和关怀——指导我们与员工、客户、供应商、股东和我们所服务的社会打交道。 | ||
|
ASML2022年年度报告
|
治理继续
|
战略报告 | 治理 | 金融 |
137
|
|||||||||||||||
负责的事务(续) |
我们的承诺 | |||||||||||
我们尊重人 | ASML致力于维护一个安全、健康的工作环境,尊重人权,符合国际法和法规以及《RBA行为准则》等行业标准。文化、教育和人才的多样性使我们成为一家更强大、更具创造力和创新性的公司。通过共同努力并利用这些价值观来指导我们,我们创造了一种基于相互尊重的环境——这种环境带来的结果比我们任何人单独取得的结果都要好。 | ||||||||||
我们诚信经营 | ASML良好的诚信和合规文化是其业务成功的基础。我们将‘诚信’定义为以诚实、真诚、谨慎和可靠的态度行事。遵守不仅意味着遵守法律法规,也意味着遵守我们的高道德标准。我们诚信的声誉是宝贵的财富。我们在任何时候都必须表现出个人和商业的诚信。 | ||||||||||
我们致力于安全和社会责任 | 技术遍及社会的各个部分。通过帮助制造价格更实惠、功能更强大的芯片,ASML可以发挥重要作用——不仅在声誉和结果方面如此,在与环境相关的方面也是如此。这就是为什么ASML致力于负责任地开展业务,在实现可持续增长的同时履行法律和道德义务。我们的目标是以关键原则所概述的关心和负责任的方式实现我们的业务目标。 | ||||||||||
我们保护我们的资产 | ASML最宝贵的资产是人才和知识,这两者都受到高度重视和保护。我们的‘资产’包括知识产权、商业秘密或其他专有信息,是指无形资产,例如技术诀窍、产品数据、商业数据和个人数据,以及实物资产,例如用于开展ASML业务的产品、工具、资金和计算机。我公司希望任何人受托经营ASML资产,以妥善保管资产,使其免遭丢失、损坏、滥用或被盗。 | ||||||||||
我们鼓励您交流和畅所欲言。 | 为了履行我们对维护本守则中所述的高标准诚信的承诺,沟通是关键。我们努力营造一种工作环境,鼓励员工之间以及员工与第三方之间的公开对话,让员工感到舒适和受到尊重,并让他们能够相互信任做正确的事。如果你观察到或怀疑有违规行为,我们鼓励你说出来。 | ||||||||||
我们的行为准则有助于培养一种正直、道德和尊重的文化。 | ||
ASML2022年年度报告
|
治理继续
|
战略报告 | 治理 | 金融 |
138
|
|||||||||||||||
负责的事务(续) |
我们提倡一种开放的信任和诚实沟通的文化。 | ||
|
ASML2022年年度报告
|
治理继续
|
战略报告 | 治理 | 金融 |
139
|
|||||||||||||||
负责的事务(续) |
|
ASML2022年年度报告
|
治理继续
|
战略报告 | 治理 | 金融 |
140
|
|||||||||||||||
负责的事务(续) |
|
我们期望我们的商业伙伴——客户、供应商、顾问、承包商和中介——表现出与我们自己一致的高标准道德行为。 | ||
ASML2022年年度报告
|
治理继续
|
战略报告 | 治理 | 金融 |
141
|
|||||||||||||||
负责的事务(续) |
ASML2022年年度报告
|
治理继续
|
战略报告 | 治理 | 金融 |
142
|
|||||||||||||||
负责的事务(续) |
我们认为,我们不仅有责任尊重人权,而且有责任在整个组织中倡导人权,以帮助对社会产生积极影响。 | ||
|
|
ASML2022年年度报告
|
治理继续
|
战略报告 | 治理 | 金融 |
143
|
|||||||||||||||
负责的事务(续) |
建立信任的安全圈 | ||||||||||||||
在ASML,我们与公司内部和外部的合作伙伴在一个基于信任的创新生态系统中密切合作,共同开发我们的技术。在一个相互关联的生态系统中进行创新和协作需要超越公司边界的安全信息共享,因为网络攻击的脆弱性已扩展到整个生态系统的周边。
因此,2021年ASML在埃因霍温地区和荷兰的Brainport Eindhoven地区发起了Security Circles of Trust倡议,以保护我们的创新生态系统。‘信任圈’是一个由同行和供应商组成的网络,他们共同接受相同的信息安全标准,并根据这些标准提高绩效。该网络还推动ASML、供应商和生态系统合作伙伴之间交流知识和最佳做法。
|
我们分享最佳做法,以帮助我们的创新伙伴发展和加强安全成熟度。其目标是保护知识产权,保护该行业和区域免受勒索软件等网络犯罪的侵害,分享相关威胁情报,就安全主题开展合作,共同提高安全性。每年,我们都与我们的10大主要供应商和50多家邻近公司举办大师班,以提高该地区的信息安全意识和知识,并分享实用技巧、技巧和策略,例如打击勒索软件。2022年,我们扩大了信任圈,将美国、欧洲和台湾的半导体公司也包括在内,并计划于2023年在其他地区进一步推广。 | |||||||||||||
ASML2022年年度报告
|
治理继续
|
战略报告 | 治理 | 金融 |
144
|
|||||||||||||||
负责的事务(续) |
知识产权组合趋势 |
ASML2022年年度报告
|
治理继续
|
战略报告 | 治理 | 金融 |
145
|
|||||||||||||||
负责的事务(续) |
产品安全能力 | ||||||||
关于我们的所有能力,我们的D & E安全能力领导的作用是提供关于我们的工作方式的全面知识,并设计规则,在我们的所有能力的具体安全危险。
电气:使电气设计安全并保护人们免受电击。这涉及使携带危险电压的导体不可接近,确保可接近的导体不携带危险电压,并通过遵守相应的条例和标准,使不可接近的导体与可接近的导体充分绝缘。
压力:解释和解释当地法规和标准,并就测试和文件提供咨询,并维护某些国家高压许可证所需的制造记录簿。
人为因素工程(包括人体工程学):采用以人为中心的设计方法,通过为诸如可访问性、姿势、力和部件的提升等问题制定规则,帮助项目保持维护和维修的访问权限。
机械:跟踪安全因素,以及我们的机器的抗震要求。
起重:许多特殊要求(例如起重机操作员的认证和培训)适用于我们使用起重工具的国家。我们的团队可以在需要认证时提供建议。例如,在韩国,500公斤或以上的重量需要认证。
|
在高空工作:这是一个新的专业领域,在我们的EXE:5000的设计过程中,这是我们的第一个EUV 0.55NA(High-NA)系统,以保证良好的进入各个领域。
辐射:主要关注强度超过标准的激光器。此外,我们还考虑了我们正在使用的标准和特殊灯具和LED的影响。
危险货物:防止因运输和进口某些危险物质,如化学品、磁铁和电池的需要而停止运输。
程序安全:支持为高度复杂的操作创建书面安全程序。
热:在高温下使用锡需要特别的预防措施来保护人们。
危险气体:使用气体需要安全系统和程序来保护机器和人员。例如,氮气是一种窒息危险,在EUV中使用氢气还有其他适用的法规和标准。
材料和物质:监测世界各地的立法,以检查我们产品上使用的所有材料的法律地位,并确保我们的产品中不使用或引入危险材料。
|
ASML2022年年度报告
|
治理继续
|
战略报告 | 治理 | 金融 |
146
|
|||||||||||||||
负责的事务(续) |
ASML2022年年度报告
|
治理继续
|
战略报告 | 治理 | 金融 |
147
|
|||||||||||||||
我们的税收方法 | ||||||||||||||||||||
ASML致力于通过社会经济凝聚力、可持续增长和长期繁荣,帮助建立一个更加公平和可持续的社会。税收是实现这一目标的一种手段。 |
我们认为,我们缴纳的税款是对我们经营所在社区的一种贡献,也是我们创造社会价值的责任的一个组成部分。在我们的业务和ESG战略的支持下,我们在经营方式和纳税方式上的开放和透明对我们很重要。 | ||||||||||||||
17亿欧元 | 15.0% | |||||||||||||
2022年缴纳的所得税
(2021年为12亿欧元)
|
2022年实际税率
(2021年为15.2%)
|
|||||||||||||
|
||||||||||||||
阅读更多: | ||||||||||||||
www.asml.com上的‘税务报告方法’ | ||||||||||||||
所得税在我们的五个最重要的 业务国家 |
1.荷兰 | 7.57亿欧元 | ||||
2.美国 | 4.74亿欧元 | ||||
3.台湾 | 2.09亿欧元 | ||||
4.韩国 | 1.67亿欧元 | ||||
5.中国 | 4200万欧元 |
ASML2022年年度报告
|
治理继续
|
战略报告 | 治理 | 金融 |
148
|
|||||||||||||||
我们的税务方法(续) |
|
||
我们的税务策略是 与我们的业务战略和 我们的可持续发展目标。” |
||
加比·贝斯 | ||
税务和海关主管 |
我们的税务原则 | ||||||||
遵约 | ||||||||
–我们按照税收法律法规的文字、意图和精神行事。
–我们根据报告要求、美国公认会计原则和国际财务报告准则进行税务披露。
–ASML的利润分配方法基于经合组织公布的国际公认标准。我们在我们的业务中始终如一地应用这些规则,这取决于我们所经营的当地司法管辖区的相关规则和条例。
|
||||||||
支持税收制度 | ||||||||
–我们报告一个司法管辖区的应纳税收入与该司法管辖区的商业活动的增加值相称。
–我们不使用所谓的避税天堂(根据欧盟委员会‘黑名单’的定义)来避税。
|
||||||||
与当局的关系 | ||||||||
–我们在相互尊重、透明和信任的基础上,与我们所在管辖区的税务当局和其他有关当局进行公开和建设性的对话,披露所有相关事实和情况。我们不使用旨在避税的税收结构,也不会人为地将利润转移到低税收管辖区。
|
||||||||
我们的税务策略 | |||||||||||
1 | 利益攸关者管理 | ||||||||||
对外,与税务机关和监管机构,还要与投资者沟通。在内部,在支持我们的业务管理风险,控制,同时保持其行政程序和工作方式的效率。我们与ASML内的其他专家紧密合作。 | |||||||||||
2 | 税收的未来 | ||||||||||
这包括ESG(包括税务透明度)和税务技术的发展,我们可借此密切监察外围世界的发展,并不断将其转化为对ASML的潜在要求或影响。 | |||||||||||
3 | 合规与控制 | ||||||||||
这包括为适当的税务风险管理和报告目的制定、实施和持续监测程序和控制。此外,这包括确保按照适用的税法和条例(包括及时缴纳应缴税款)及时和准确地履行遵守税务规定的义务。 | |||||||||||
4 | 税务和海关组织 | ||||||||||
在瞬息万变的世界里,重要的是要有一支能够应对变化的多元化团队,他们不仅仅是优秀的税务和海关专家。沟通、数字和项目管理技能正变得日益重要。我们努力按照ASML的价值观(挑战、协作和关怀)共同努力,相互发展。 | |||||||||||
5 | 项目 | ||||||||||
我们的业务和我们经营所处的监管环境不断变化。我们致力于处理这些变化的项目,以确保实施的解决方案是合规和高效的。同样,我们不断努力简化和审查现有的业务模式,以确保我们保持税务和海关合规。 | |||||||||||
ASML2022年年度报告
|
小模式。影响很大。 | 战略报告 | 治理 | 金融 |
149
|
可穿戴技术 | |||||||||||
突破性的技术,改变生活的结果 | |||||||||||
半导体对于一系列新的可穿戴设备至关重要,这些设备有可能改变医疗保健,特别是对我们的老年人口而言。从智能手表到跌倒检测服务,纳米传感器可以监测病人的健康状况并提醒护理人员——而与人工智能相结合,它们甚至可以预测心脏病和癌症等疾病。 | |||||||||||
在线阅读更多 |
ASML2022年年度报告
|
公司治理 | 战略报告 | 治理 | 金融 |
150
|
|||||||||||||||
公司治理概览 | ||||||||||||||||||||
我们倡导一体化的公司治理,以便与我们的利益相关者建立信任、尊重和互利的关系。 |
概览 |
企业 治理声明 |
在页面上阅读更多内容151
|
监事会报告 |
在页面上阅读更多内容168
|
薪酬报告 |
在页面上阅读更多内容186
|
|||||||||||||||||||||||||||||||||||||||||||||||
这些网页概述和简要介绍了我们的年度报告的公司治理部分。 | 在我们的《公司治理声明》中,我们将介绍ASML的公司治理结构以及ASML应用荷兰《公司治理准则》的原则和最佳实践的方式。 |
–治理结构
–管理委员会
–监事会
–与董事会有关的事项
–股东周年大会和股本
–财务报告和审计
–遵守治理要求
|
本报告概述了监事会及其各委员会的活动以及2022年的主要重点领域,包括利益相关者的参与、与人员和我们的供应链有关的问题以及ESG日益重要的意义。 | 在此,我们解释在这一年中我们在致力于公平和平衡薪酬方面取得的进展,包括我们在如何奖励管理层以吸引合适人才方面提高透明度的工作。 | |||||||||||||||||||||||||||||||||||||||||||||||||
–主席致辞
–监事会
–2022年董事会重点
–会议和出席情况
–组成、培训和评价
–监事会委员会
–审计委员会
–技术委员会
–甄选及提名委员会
|
–主席致辞
–薪酬委员会
–管理委员会薪酬
–监事会薪酬
|
||||||||||||||||||||||||||||||||||||||||||||||||||||
我们的战略 |
在页面上阅读更多内容31
|
主席致辞 我们的监事会 |
在页面上阅读更多内容168
|
主席致辞 薪酬委员会 |
在页面上阅读更多内容186
|
||||||||||||||||||||||||||||||||||||||||||||||||
我们的商业模式 |
在页面上阅读更多内容33
|
||||||||||||||||||||||||||||||||||||||||||||||||||||
我们的利益相关者 |
在页面上阅读更多内容37
|
ASML2022年年度报告
|
公司治理 | 战略报告 | 治理 | 金融 |
151
|
|||||||||||||||
公司治理 |
ASML公司治理结构 | |||||||||||||||||||||||||||||||||||||||||||||||
股东 | |||||||||||||||||||||||||||||||||||||||||||||||
监事会 | |||||||||||||||||||||||||||||||||||||||||||||||
管理委员会 | |||||||||||||||||||||||||||||||||||||||||||||||
商业 部门 |
商业 职能 |
企业 职能 |
雇员 支持 |
||||||||||||||||||||||||||||||||||||||||||||
ASML2022年年度报告
|
公司治理继续
|
战略报告 | 治理 | 金融 |
152
|
|||||||||||||||
管理委员会 |
ASML2022年年度报告
|
公司治理继续
|
战略报告 | 治理 | 金融 |
153
|
|||||||||||||||
管理委员会(续) |
Martin A. van den Brink (1957年,荷兰语) |
Christophe D. Fouquet (1973年,法文) |
|
||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
|
|
|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
总裁,首席技术
干事和董事会副主席 管理
任期将于2024年届满
|
执行副总裁
和首席商务官
任期至2026年届满
|
|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Christophe Fouquet于2018年被任命为EUV执行副总裁兼管理委员会成员。2022年,Christophe被任命为执行副总裁兼首席商务官。自2008年加入ASML以来,他曾担任多个职位,包括市场高级总监、产品管理副总裁,以及应用程序执行副总裁,该职位从2013年到2018年一直担任。在加入ASML之前,他曾任职于半导体设备同行KLA-Tencor和应用材料公司。Christophe拥有格勒诺布尔理工学院物理学硕士学位。 | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Martin van den Brink自2013年起担任ASML的总裁兼CTO。他于1984年创立ASML时加入该公司,并在接下来的11年里在工程领域担任过多个职位。1995年,他成为技术副总裁,并于1999年被任命为产品和技术执行副总裁和管理委员会成员。Martin拥有HTS Arnhem(汉大)的电气工程学位,以及Twente大学的物理学学位(1984年)。2012年,阿姆斯特丹大学授予他物理学荣誉博士学位。 | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Roger J.M. Dassen (1965年,荷兰语) |
||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
执行副总裁
和首席财务官
任期至2026年届满
|
||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Peter T.F.M.温宁克 (1957年,荷兰语) |
在2021年12月31日之前,Peter是Stichting Pensioenfonds ABP投资委员会的顾问委员会成员。他担任妇女地位委员会-妇女地位委员会的副主席。彼得还是埃因霍温地区工业队长委员会的成员,也是埃因霍温工业大学Fabrikantenkring和监事会的主席。此外,Peter还是Topconsortium voor‘Kennis en Innovatie’TKI HTS & M的理事会成员、荷兰国家增长基金咨询委员会成员和Startup Delta影响力圈成员。 |
|
Roger Dassen于2018年6月加入ASML,并于同年的年度股东大会上被任命为执行副总裁兼CFO和管理委员会成员。他曾担任Deloitte Touche Tohmatsu Limited全球副主席和执行董事会成员,曾担任Deloitte Holding B.V.的首席执行官。Roger拥有经济学和工商管理硕士学位、审计硕士学位和工商管理博士学位,均来自马斯特里赫特大学。他是阿姆斯特丹自由大学审计学教授,并担任荷兰国家银行监事会成员。他还是马斯特里赫特大学医学中心+监事会主席,并在Stichting Brainport董事会任职。 |
Fr é d é ric J.M. Schneider-Maunoury(1961年,法语)
|
|
|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
总裁、首席执行官兼管理委员会主席
任期将于2024年届满
|
执行副总裁
和首席运营官
任期至2026年届满
|
|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Peter Wennink于2013年成为总裁兼首席执行官,自1999年起担任执行副总裁、CFO和管理委员会成员。彼得以前是德勤会计师事务所的合伙人,专注于半导体行业。他具有广泛的金融背景,是荷兰注册会计师协会的成员。 | Fr é d é ric Schneider-Maunoury自2009年加入ASML以来,一直担任执行副总裁兼首席运营官。他于2010年被任命为管理委员会成员。在加入ASML之前,Fr é d é ric是阿尔斯通发电和铁路运输设备集团的热力产品制造副总裁,此前曾担任阿尔斯通全球水电业务总经理。在加入阿尔斯通之前,Fr é d é ric在法国贸易和工业部担任过多个职位。他毕业于巴黎的é cole Polytechnique(1985年)和é cole Nationale Sup é rieure des Mines(1988年)。 | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
ASML2022年年度报告
|
公司治理继续
|
战略报告 | 治理 | 金融 |
154
|
|||||||||||||||
监事会 |
ASML2022年年度报告
|
公司治理继续
|
战略报告 | 治理 | 金融 |
155
|
|||||||||||||||
监事会(续) |
Antoinette(Annet)P. Aris (1958年,荷兰语) |
||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
|
|
|
||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
监督成员 自2015年以来的董事会 (第三任期将于2024年届满) |
||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
监督副主席 董事会自2021年起,成员 技术委员会薪酬委员会成员 及甄选及提名委员会 |
||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Annet Aris自2015年起担任监事会成员。她是法国欧洲工商管理学院商学院战略高级附属教授,自2003年以来一直担任该职位。从1994年到2003年,她是德国麦肯锡公司的合伙人,直到2022年12月,她还是荷兰合作银行UA的监事会成员。她还是永恒力股份公司和任仕达控股公司的监事会成员。 |
亚历山大·F·M·埃弗克 (1963年,德语) |
|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
自2022年起担任监事会成员 (第一任期于2026年届满) |
||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Gerard J. Kleisterlee (1946年,荷兰语) |
Gerard Kleisterlee于2015年加入监事会,并自2016年起担任主席。2001年至2011年,他担任皇家飞利浦公司董事会主席兼首席执行官,自1974年以来一直在该公司工作。2006年至2012年,他还担任荷兰中央银行监事会成员,2009年至2014年担任戴姆勒公司非执行董事,2010年至2013年担任戴尔非执行董事。2011年至2022年,杰拉德担任沃达丰集团董事会主席。2010年至2020年5月,他担任Royal Dutch Shell Plc的非执行董事。Gerard目前是IBEX Limited的独立董事会成员。 |
|
成员
薪酬委员会
|
D. Mark Durcan (1961年,美国) |
Mark Durcan于2020年被任命为监事会成员。2012年至2017年,他担任美光科技公司的首席执行官,1984年加入美光科技公司,并在被任命为首席执行官之前担任过多个管理职位。此外,马克还曾担任飞思卡尔半导体公司MWI兽医供应公司和Veoneer,Inc.公司的董事。马克还担任Advanced Micro Devices, Inc.公司的非执行董事、AmerisourceBergen公司的董事会成员、德克萨斯州莱斯大学的董事会成员、爱达荷州圣卢克卫生系统的主任以及初创公司Natural Intelligence System 加利福尼亚州私营人工智能公司的主任。
|
|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
监督成员 自2015年以来的董事会 (第二任期于2023年届满) |
Alexander Everke于2022年加入监事会。他是ams-OSRAM公司的首席执行官,在2015年10月加入ams公司后,他于2016年3月开始担任该职位。在此之前,Everke先生在半导体行业担任过多个职位,包括西门子和英飞凌的管理职位,以及恩智浦半导体的多个领导职位。 | 监督成员 2020年以来董事会 (第一任期将于2024年届满) |
||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
|
||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
监事会主席, 甄选和提名委员会主席和技术委员会成员 |
技术委员会主席、甄选和提名委员会成员
|
|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
ASML2022年年度报告
|
公司治理继续
|
战略报告 | 治理 | 金融 |
156
|
|||||||||||||||
监事会(续) |
罗尔夫-迪特·施瓦布 (1952年,德语) |
Birgit M. Conix (1965年,比利时) |
Terri L. Kelly (1961年,美国) |
Terri Kelly自2018年起担任监事会成员。此前,她曾于2005年至2018年在W.L. Gore & Associates担任总裁兼首席执行官,自1983年以来一直在Gore担任各种管理职务。她还在戈尔的董事会任职至2018年7月。Terri是Alfred I. Dupont慈善信托基金的受托人,该信托基金负责监督Nemours基金会。她是特拉华大学董事会主席,也是联合租赁董事会成员。 | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
|
|
||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
监督成员 自2015年以来的董事会 (第二任期于2023年届满) |
监督成员 自2021年以来的董事会 (第一任期于2025年届满) |
监督成员 自2018年以来的董事会 (第二任期于2026年届满) |
|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
审计委员会主席 及薪酬委员会成员 |
审计委员会成员
|
薪酬委员会主席、甄选和提名委员会成员
|
|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Birgit Conix于2021年成为监事会成员。Birgit自2021年6月起担任Sonova控股公司CFO和管理委员会成员。从2018年到2021年1月1日,Birgit是TUI AG的执行董事会成员兼CFO。在此之前,她是比利时媒体、有线电视和电信公司Telenet Group N.V.的CFO。在此之前,她曾在强生、喜力、天纳克和Reed Elsevier担任多个财务管理职位。 |
|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Rolf-Dieter Schwalb自2015年起担任监事会成员。2006年至2014年,他担任Royal DSM N.V.的CFO和管理委员会成员。在此之前,他是Beiersdorf AG的CFO和执行委员会成员。他还在Beiersdorf AG和Procter & Gamble Co.担任过财务、IT和内部审计等多个管理职位。 | |||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
|
安·L·斯蒂根 (1971年,比利时) |
||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
监督成员 自2022年以来的董事会 (第一任期于2026年届满) |
|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
|
D. Warren A. East (1961年,英国) |
|
技术委员会成员 | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
监督成员 2020年以来董事会 (第一任期将于2024年届满) |
An Steegen于2022年加入监事会。她是Barco N.V.的联席首席执行官兼董事会成员,自2021年10月起担任该职位。在此之前,安是IBM半导体公司的研发总监,以及比利时研究机构imec的执行副总裁。此外,安先生还是优美科的CTO兼电子和电光材料执行副总裁。 | ||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
审计委员会成员
|
|||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||||
Warren East于2020年成为监事会成员。沃伦在2015年至2022年12月期间担任劳斯莱斯集团的首席执行官。1985年至1994年,他在德州仪器有限公司度过了他的早期职业生涯。随后,他加入了ARM Holdings,Plc,在那里他担任过多个管理职位,并于2001年至2013年被任命为首席执行官。 |
ASML2022年年度报告
|
公司治理继续
|
战略报告 | 治理 | 金融 |
157
|
|||||||||||||||
与委员会有关的其他事项 |
董事会多元化矩阵 (截至2022年12月31日的状况) |
|||||||||||||||||||||||
女性 | 男 | 非二进制 | 没有 披露 |
||||||||||||||||||||
第一部分:性别认同 | |||||||||||||||||||||||
董事 |
4 (2021: 3) |
5 (2021: 5) |
0 (2021: 0) |
0 (2021: 0) |
|||||||||||||||||||
第二部分:人口背景 | |||||||||||||||||||||||
家庭中任职人数偏低的个人 国家管辖权 |
0 (2021: 0) |
0 (2021: 0) |
0 (2021: 0) |
0 (2021: 0) |
|||||||||||||||||||
LGBTQI + |
0 (2021: 0) |
0 (2021: 0) |
0 (2021: 0) |
0 (2021: 0) |
|||||||||||||||||||
未披露人口背景 |
0 (2021: 0) |
0 (2021: 0) |
0 (2021: 0) |
0 (2021: 0) |
|||||||||||||||||||
主要执行办公室的国家 | 荷兰 | ||||
外国私人发行人 | 是 | ||||
母国法律禁止披露 | 无 | ||||
监事会成员总数 | 9 (2021: 8) |
ASML2022年年度报告
|
公司治理继续
|
战略报告 | 治理 | 金融 |
158
|
|||||||||||||||
与委员会有关的其他事项(续) |
|
|||||
我们认识到多样性和包容性的重要性。” | |||||
克里斯托夫·福凯 | |||||
执行副总裁、首席业务干事和管理委员会成员 |
|
||||||||
12% | ||||||||
2024年目标:妇女在领导一级的任职人数 |
ASML2022年年度报告
|
公司治理继续
|
战略报告 | 治理 | 金融 |
159
|
|||||||||||||||
与委员会有关的其他事项(续) |
ASML2022年年度报告
|
公司治理继续
|
战略报告 | 治理 | 金融 |
160
|
|||||||||||||||
股东周年大会和股本 |
|
|||||
我们高度重视 互动 与我们的股东。” |
|||||
Gerard Kleisterlee | |||||
监事会主席 |
–讨论管理报告和通过上一个财政年度的财务报表;
–讨论股息政策和批准任何拟议的股息;
–就过去一个财政年度的薪酬报告进行咨询表决;
–管理委员会和监事会成员履行上一财政年度职责的责任;
–对管理董事会以ASML的股本发行(发行)股份并排除此类发行的优先购买权以及回购股份和注销股份的有限授权;和
–管理委员会、监事会或股东根据荷兰法律和《公司章程》提出的任何其他议题。
|
|
ASML2022年年度报告
|
公司治理继续
|
战略报告 | 治理 | 金融 |
161
|
|||||||||||||||
股东周年大会和股本(续) |
ASML2022年年度报告
|
公司治理继续
|
战略报告 | 治理 | 金融 |
162
|
|||||||||||||||
股东周年大会和股本(续) |
ASML的法定股本为1.26亿欧元,分为:
|
|||||||||||||||||||||||
股份类型 | 股份数 | 名义价值 | 每股票数 | ||||||||||||||||||||
累计优先股 |
700,000,000
|
每股0.09欧元
|
1
|
||||||||||||||||||||
普通股 |
700,000,000
|
每股0.09欧元
|
1
|
||||||||||||||||||||
每股面值为0.09欧元的已发行和缴足股款的普通股如下:
|
|||||||||||||||||||||||
截至12月31日止年度 | 2020 | 2021 | 2022 | ||||||||||||||||||||
发行面值0.09欧元的普通股 | 416,514,034 | 402,601,613 | 394,589,411 | ||||||||||||||||||||
发行面值为0.09欧元的普通库存股 | 2,983,454 | 3,873,663 | 8,548,631 | ||||||||||||||||||||
已发行普通股总数,面值0.09欧元 | 419,497,488 | 406,475,276 | 403,138,042 | ||||||||||||||||||||
ASML2022年年度报告
|
公司治理继续
|
战略报告 | 治理 | 金融 |
163
|
|||||||||||||||
股东周年大会和股本(续) |
ASML2022年年度报告
|
公司治理继续
|
战略报告 | 治理 | 金融 |
164
|
|||||||||||||||
股东周年大会和股本(续) |
股份 |
类的百分比6
|
|||||||
资本研究和管理公司1
|
40,615,837 | 10.29 | % | |||||
贝莱德。2
|
32,539,755 | 8.25 | % | |||||
普信集团。3
|
13,527,385 | 3.43 | % | |||||
ASML现任管理委员会成员(5人)4,5
|
89,892 | 0.02 | % |
ASML2022年年度报告
|
公司治理继续
|
战略报告 | 治理 | 金融 |
165
|
|||||||||||||||
财务报告和审计 |
|
|
||||||||||||||||
除其他外,ASML还发布有关2022财政年度的以下年度报告: | 这两份报告都有相同的质量基础,并描述了针对半导体行业特定的相同风险因素,ASML和ASML的股票。我们还提供敏感性分析,提供: | ||||||||||||||||
–根据荷兰法律要求编制的法定年度报告。其中的财务报表是根据《荷兰民法典》第2册第9部分和《欧盟国际财务报告准则》编制的;以及
–表格20-F的年度报告,根据《交易法》的要求编写。其中包括的财务报表是按照美国公认会计原则编制的。
|
|||||||||||||||||
–ASML财务报表的叙述性说明;
–应在何种背景下分析财务信息;以及
–关于我们的收益和现金流的质量和可变性的信息。
|
ASML2022年年度报告
|
公司治理继续
|
战略报告 | 治理 | 金融 |
166
|
|||||||||||||||
财务报告和审计(续) |
ASML2022年年度报告
|
公司治理继续
|
战略报告 | 治理 | 金融 |
167
|
|||||||||||||||
遵守公司治理要求 |
ASML代替纳斯达克规则采用的做法 | |||||
法定人数 | ASML并未遵循纳斯达克适用于普通股股东大会的法定人数要求。根据荷兰法律和普遍接受的荷兰商业惯例,ASML的《公司章程》规定,没有一般适用于股东大会的法定人数要求。 | ||||
代理的征集 | ASML没有遵循纳斯达克关于为股东大会征集代理人和提供代理声明的要求。ASML确实会提供委托说明书并为股东大会征集代理人。荷兰公司法规定,荷兰上市公司的强制性(参与和投票)记录日期为股东大会日期的前28天。在上述记录日期登记的股东有权出席股东大会并行使其作为股东的权利,而不论在记录日期之后是否出售股份。 | ||||
分发年度报告 | ASML没有遵循纳斯达克关于在我们的年度股东大会之前向股东分发包含经审计财务报表的年度报告副本的要求。根据荷兰公司法或荷兰证券法,或阿姆斯特丹泛欧交易所,我们不要求向股东分发我们的年度报告。此外,普遍接受的商业惯例是,荷兰公司不分发年度报告。这在一定程度上是因为荷兰的无记名股票制度使得为了分发年度报告而保留当前的无记名股票持有人名单变得不切实际。相反,我们不迟于股东周年大会召开前42天在荷兰公司总部(以及在会议召开通知中所述的荷兰上市代理办事处)提供我们的年度报告。此外,我们在年度股东大会之前在我们的网站上发布一份年度报告。 | ||||
股权补偿安排
|
ASML不遵循纳斯达克关于获得股东批准的股票期权或购买计划或其他适用于高级职员、董事或雇员的股权补偿安排的要求。荷兰法律或普遍接受的做法并不要求荷兰公司获得股东对高级职员、董事或雇员可获得的股权补偿安排的批准。大会通过管理委员会的薪酬政策,核准管理委员会的股权报酬安排,核准监事会的薪酬。薪酬委员会评估管理委员会个别成员在短期和长期量化业绩方面的成绩,并由全体监事会评估量化业绩标准。雇员的公平报酬安排由管理委员会在大会核准的限额内通过。
|
ASML2022年年度报告
|
监督委员会的报告 | 战略报告 | 治理 | 金融 |
168
|
|||||||||||||||
监事会主席致辞 | ||||||||||||||||||||
在具有挑战性的情况下又一次创纪录的表现 |
监事会在执行管理任务和确定ASML的方向时,监督管理委员会并向其提供建议,重点是长期和可持续的价值创造。监事会成员完全独立。 |
|
||
|
||
监事会相信,在我们优秀团队的技能和热情的支持下,这份完整的订单为未来数月乃至数年奠定了坚实的基础。” | ||
Gerard Kleisterlee | ||
监事会主席 |
ASML2022年年度报告
|
监督委员会的报告继续
|
战略报告 | 治理 | 金融 |
169
|
|||||||||||||||
监事会主席致辞(续) |
ASML2022年年度报告
|
监督委员会的报告继续
|
战略报告 | 治理 | 金融 |
170
|
||||||||||||||||||
2022年监事会工作重点 | |||||||||||||||||||||||
|
|
|
|||||||
7 | 44% | |||||||
监事会会议(2021年:6次)
|
女性
成员(2021年:38%)
|
|||||||
|
|
|||||||
95% | 4.0 | |||||||
出勤率
(2021: 98%)
|
年平均数
任期(2021年:3.9)
|
深潜 | ||||||||||||||
市场发展和ASML能力 | ||||||||||||||
|
||||||||||||||
监事会与管理委员会讨论了半导体行业的短期、中期和长期市场发展以及满足客户需求所需的相关产能提升。监事会重点关注的领域包括各种需求驱动因素及其对总体需求的影响、潜在的需求波动以及对产能(ASML基础设施和FTE、供应链)需求增加的后果。与能力提升有关的挑战和风险也是监督委员会的一个重点关注领域。 | ||||||||||||||
ASML2022年年度报告
|
监督委员会的报告继续
|
战略报告 | 治理 | 金融 |
171
|
|||||||||||||||
2022年监事会工作重点(续) |
|
||
在年度战略审查的同时,监督委员会通过深入探讨全年的战略专题,使重点突出,深入 审查。” |
||
Gerard Kleisterlee | ||
监事会主席 |
深潜 | ||||||||||||||
增长 | ||||||||||||||
|
||||||||||||||
增长是一个中心主题,涉及ASML的许多方面。出于这个原因,在2022年期间,增长也是监事会的首要考虑。我们与管理委员会讨论了我们在各个领域的增长所带来的挑战,包括如何增加我们的客户信任和业绩,我们的人员和组织,我们的产出能力,我们的创新,以及如何可持续地增长。关于所有这些主题,我们举行了公开对话,在对话中,监事会不仅就如何应对ASML目前正在经历的增长,而且就如何为到2030年的未来预期增长进行组织,向管理委员会提出了挑战并提出了建议。 | ||||||||||||||
ASML2022年年度报告
|
监督委员会的报告继续
|
战略报告 | 治理 | 金融 |
172
|
|||||||||||||||
2022年监事会工作重点(续) |
深潜: | ||||||||||||||
ESG可持续发展战略 | ||||||||||||||
|
||||||||||||||
作为监事会,我们认为ESG的可持续发展是一个越来越重要的话题。在监事会对ESG可持续发展进行全面监督的同时,ESG可持续发展的各个方面也在委员会层面进行讨论,例如审计委员会的报告、甄选和提名委员会的多元化、薪酬委员会管理委员会将ESG可持续发展作为激励计划的一部分,以及技术委员会的产品和技术方面的内容。2022年,我们与管理委员会讨论了ASML最新的ESG可持续发展战略与执行情况。在深潜会议上,与会者特别关注了EUV的能效,这也是ASML的主要负责人关注的一个关键领域2减少目标、多样性和包容性战略及其实施。为强调ESG可持续发展的重要性,监事会决定在管理委员会纳入与ESG可持续发展战略直接相关的激励计划衡量标准,并增加权重。
|
||||||||||||||
ASML2022年年度报告
|
监督委员会的报告继续
|
战略报告 | 治理 | 金融 |
173
|
|||||||||||||||
2022年监事会工作重点(续) |
ASML2022年年度报告
|
监督委员会的报告继续
|
战略报告 | 治理 | 金融 |
174
|
|||||||||||||||
会议和出席情况 |
监事会会议 | ||||||||||||||
虽然2022年的大多数监事会和委员会会议都是亲自举行的,但监事会也在一些场合举行了虚拟会议。利用在新冠疫情期间从虚拟会议中获得的经验,监督委员会继续采用为有利于会议讨论而制定的若干解决方案,例如在较小的小组中组织分组会议,以优化互动。我们还利用视频进行会议准备,并提供书面会议文件,以便尽可能多地进行讨论。监督委员会成员对在年度评价中应用这些解决办法提出了积极的反馈意见。 | ||||||||||||||
监事会会议出席情况概览
|
|||||||||||||||||||||||||||||||||||
|
|||||||||||||||||||||||||||||||||||
95% | |||||||||||||||||||||||||||||||||||
出勤率 | |||||||||||||||||||||||||||||||||||
姓名 | 监督 董事会 |
审计 委员会 |
薪酬委员会 | 甄选及提名委员会 | 技术委员会 | ||||||||||||||||||||||||||||||
Gerard Kleisterlee(主席) | 7/7 | 7/7 | 不适用 | 6/6 | 5/5 | ||||||||||||||||||||||||||||||
安内特·阿里斯 | 6/7 | 不适用 | 4/4 | 6/6 | 5/5 | ||||||||||||||||||||||||||||||
Birgit Conix | 6/7 | 6/7 | 不适用 | 不适用 | 不适用 | ||||||||||||||||||||||||||||||
Mark Durcan | 7/7 | 不适用 | 不适用 | 6/6 | 5/5 | ||||||||||||||||||||||||||||||
Warren East | 6/7 | 5/7 | 不适用 | 不适用 | 不适用 | ||||||||||||||||||||||||||||||
Alexander Everke1
|
4/4 | 不适用 | 3/3 | 不适用 | 不适用 | ||||||||||||||||||||||||||||||
特丽·凯利 | 7/7 | 不适用 | 4/4 | 6/6 | 不适用 | ||||||||||||||||||||||||||||||
罗尔夫-迪特·施瓦布 | 7/7 | 7/7 | 4/4 | 不适用 | 不适用 | ||||||||||||||||||||||||||||||
安斯蒂根2
|
4/4 | 不适用 | 不适用 | 不适用 | 1/2 | ||||||||||||||||||||||||||||||
汉斯·斯托克3
|
3/3 | 不适用 | 1/1 | 不适用 | 3/3 | ||||||||||||||||||||||||||||||
1.于2022年4月29日在股东周年大会上获委任;亦获委任为薪酬委员会成员。
2.在2022年4月29日的年度股东大会上被任命;同时被任命为技术委员会成员。
3.在2022年4月29日的年度股东大会上卸任。
|
ASML2022年年度报告
|
监督委员会的报告继续
|
战略报告 | 治理 | 金融 |
175
|
|||||||||||||||
会议和出席情况(续) |
监事会技能矩阵 | |||||||||||||||||||||||||||||||||||||||||
Gerard Kleisterlee(主席) | 安内特·阿里斯 | Birgit Conix | Mark Durcan | Warren East | Alexander Everke | 特丽·凯利 | 罗尔夫-迪特·施瓦布 | 安斯蒂根 | |||||||||||||||||||||||||||||||||
一般技能 | |||||||||||||||||||||||||||||||||||||||||
(上市)国际公司执行董事会成员 |
|
|
|
|
|
|
|
|
|||||||||||||||||||||||||||||||||
财务/治理 |
|
|
|
|
|
|
|||||||||||||||||||||||||||||||||||
报酬 |
|
|
|
|
|
|
|
||||||||||||||||||||||||||||||||||
人力资源/雇员关系 |
|
|
|
|
|
|
|
|
|
||||||||||||||||||||||||||||||||
IT/数字/网络 |
|
|
|
|
|
|
|
||||||||||||||||||||||||||||||||||
ESG |
|
|
|
|
|
|
|
|
|||||||||||||||||||||||||||||||||
ASML-specific技能 | |||||||||||||||||||||||||||||||||||||||||
半导体生态系统 |
|
|
|
|
|
|
|||||||||||||||||||||||||||||||||||
对半导体技术的深刻理解 |
|
|
|
|
|
||||||||||||||||||||||||||||||||||||
高科技制造/综合供应链管理 |
|
|
|
|
|
|
|||||||||||||||||||||||||||||||||||
亚洲业务 |
|
|
|
|
|
|
|
|
|||||||||||||||||||||||||||||||||
关于监事会成员的进一步资料和背景,包括关于国籍、性别和年龄的详细资料, 请看 |
|||||||||||||||||||||||||||||||||||||||||
监事会成员在公司治理----监事会.
|
|||||||||||||||||||||||||||||||||||||||||
ASML2022年年度报告
|
监督委员会的报告继续
|
战略报告 | 治理 | 金融 |
176
|
|||||||||||||||
会议和出席情况(续) |
ASML2022年年度报告
|
监督委员会的报告继续
|
战略报告 | 治理 | 金融 |
177
|
|||||||||||||||
监事会委员会 |
ASML2022年年度报告
|
监督委员会的报告继续
|
战略报告 | 治理 | 金融 |
178
|
|||||||||||||||
监事会委员会(续) |
审计委员会 | ||||||||||||||||||||||||||||||||
审计委员会协助监督委员会监督我们财务报告的完整性和质量以及内部风险管理和内部控制系统的有效性。 | 经常性议程议题(每季度) | 出席情况 | ||||||||||||||||||||||||||||||
–财务更新和筹资
–审查季度财务结果和新闻稿
–会计更新
–内部控制更新
–外聘审计员的意见
–风险和内部审计更新
–披露委员会报告
–法律事项报告
–道德与合规
|
除审计委员会成员外,监事会主席还尽可能出席审计委员会会议。外部审计员和内部审计员长期应邀参加审计委员会会议,并出席了2022年的所有审计委员会会议。会议邀请了首席执行官、CFO、财务执行副总裁、公司总会计师、风险主管和业务保证主管参加。 | |||||||||||||||||||||||||||||||
成员: | 主要职责: | |||||||||||||||||||||||||||||||
|
–Rolf-Dieter Schwalb(主席)
–Birgit Conix
–Warren East
|
–监督ASML财务报表的完整性和质量以及相关的非财务披露,并提出提案以确保这种完整性;
–监督会计和财务报告程序以及财务报表的审计;
–监督我们的内部风险管理和控制系统的有效性,包括遵守相关法规和条例,以及行为守则的效果;
–监督我们的披露控制和程序制度以及我们的财务报告内部控制制度的完整性和有效性;
–监督外聘审计员的资格、独立性、业绩和确定其报酬;以及
–监督内部审计的运作。
|
||||||||||||||||||||||||||||||
审计委员会的成员都是监事会的独立成员。
根据《萨班斯-奥克斯利法案》第407节和荷兰法规,考虑到Schwalb先生和Conix女士广泛的财务背景和经验,监事会已确定他们都有资格成为审计委员会的财务专家。
|
||||||||||||||||||||||||||||||||
除经常性议程议题外,下文概述了审计委员会2022年会议期间讨论的若干议题。 | ||||||||||||||||||||||||||||||||
第一季度 | 第三季度 | |||||||||||||||||||||||||||||||
–2021年年度报告和财务报表美国公认会计原则和欧盟国际财务报告准则
–会计深度研究:资产负债表审查
–2021年外部审计报告
–年度报告程序
–现金回报:2021年末期股息
–欺诈风险评估
–2021年外聘审计员评价结果
–审计委员会自我评价结果
–风险和内部审计年度计划
–外聘审计员轮调
|
–2022年法定中期报告
–融资、资本分配和股息政策
–季度中期股息提议和股票回购计划
–合规深度研究:金融
–财务和IT转型方案
|
|||||||||||||||||||||||||||||||
第二季度 | 第四季度 | |||||||||||||||||||||||||||||||
–核准2022年外部审计计划
–管理委员会和监事会2021年费用报告
–安全,包括信息技术安全
–外聘审计员轮调
|
–现金回报,包括2022年第四季度中期股息提议和股票回购计划
–资本市场日信息
–2022年年度报告流程
–长期财务计划
–2023年年度计划
–会计深度研究:ESG报告要求,包括CSRD
–年度税务更新
–外部审计更新
–审查审计委员会议事规则
|
|||||||||||||||||||||||||||||||
2022年第四季度,审计委员会对ESG报告要求进行了会计深度调研。 | ||||||||||||||||||||||||||||||||
ASML2022年年度报告
|
监督委员会的报告继续
|
战略报告 | 治理 | 金融 |
179
|
|||||||||||||||
监事会委员会(续) |
ASML2022年年度报告
|
监督委员会的报告继续
|
战略报告 | 治理 | 金融 |
180
|
|||||||||||||||
监事会委员会(续) |
ASML2022年年度报告
|
监督委员会的报告继续
|
战略报告 | 治理 | 金融 |
181
|
|||||||||||||||
监事会委员会(续) |
甄选及提名委员会 | ||||||||||||||||||||||||||||||||
甄选和提名委员会在监督委员会和管理委员会的组成和运作以及监测公司治理发展方面协助监督委员会履行其职责。 | 经常性议程议题 | 出席情况 | ||||||||||||||||||||||||||||||
–管理委员会的作用、组成和运作
–监事会的作用、组成和运作
–公司治理
|
除甄选和提名委员会成员外,还定期邀请两位主席和执行副总裁HRO出席委员会的会议(部分会议)。在认为必要时,还邀请一名外部顾问出席甄选和提名委员会会议。 | |||||||||||||||||||||||||||||||
成员: | 主要职责: | |||||||||||||||||||||||||||||||
|
–Gerard Kleisterlee(主席)
–安内特·阿里斯
–Mark Durcan
–特丽·凯利
|
–拟订监事会和管理委员会成员的甄选标准和任命程序,并监督管理委员会有关高级管理人员甄选和任命标准的政策;
–定期评价管理委员会和监督委员会的范围和组成,并提出监督委员会的概况;
–定期评价管理委员会和监督委员会及其个别成员的运作情况;
–拟订监督委员会关于任命和重新任命管理委员会成员的决定,并提议(重新)任命监督委员会成员;以及
–监测和讨论公司治理方面的发展。
|
||||||||||||||||||||||||||||||
下面的概述详细介绍了在2022年甄选和提名委员会会议上讨论的主题。 | ||||||||||||||||||||||||||||||||
根据纳斯达克上市规则,每个成员都是我们监事会的独立非执行成员。 |
H1
|
H2
|
||||||||||||||||||||||||||||||
–管理委员会的组成,包括多样性方面、要求和继任计划
–重新任命管理委员会成员
–监事会的概况和组成及其各委员会的组成
–监事会成员的任命提名
–监事会新成员上岗培训方案
–管理委员会及监察委员会议事规则的修订
–修订公司章程
–监事会和各委员会的评价结果
–管理委员会和个别成员的业绩
|
–管理委员会的组成,包括多样性方面和要求,以及继任计划
–根据2023年年度股东大会,拟任命Wayne Allan为管理委员会成员
–监事会的概况和组成
–根据2023年年度股东大会提名任命Nils Andersen和Jack de Kreij为监事会成员
–对监事会和各委员会的评价,包括对监事会评价建议的后续行动和2022年评价办法
|
|||||||||||||||||||||||||||||||
2022年,甄选和提名委员会根据2023年年度股东大会提名Nils Andersen和Jack de Kreij为监事会成员。 | ||||||||||||||||||||||||||||||||
ASML2022年年度报告
|
监督委员会的报告继续
|
战略报告 | 治理 | 金融 |
182
|
|||||||||||||||
监事会委员会(续) |
ASML2022年年度报告
|
监督委员会的报告继续
|
战略报告 | 治理 | 金融 |
183
|
|||||||||||||||
监事会委员会(续) |
技术委员会 | ||||||||||||||||||||||||||||||||
技术委员会向监事会提供咨询意见 关于执行所需的技术计划 我们的商业策略。 |
经常性议程议题(每季度) | 出席情况 | ||||||||||||||||||||||||||||||
–产品路线图
–进步技术领先指数
|
除技术委员会成员外,委员会的外部和内部顾问定期出席委员会会议。顾问们没有投票权。 | |||||||||||||||||||||||||||||||
成员: | 主要职责: | 2022年技术委员会会议 | ||||||||||||||||||||||||||||||
|
–Mark Durcan(主席)
–安内特·阿里斯
–Gerard Kleisterlee
–安斯蒂根
|
–就技术趋势、研究潜在的替代战略、技术战略、产品路线图、所需技术资源和研发业务业绩提供咨询;
–就与ASML的竞争地位有关的技术项目向监事会提出建议;和
–讨论为衡量短期和长期业绩而设定的技术目标以及与此相关的成就,并就这一主题向薪酬委员会提供咨询意见。
|
一般来说,技术委员会每年至少举行两次会议,在认为必要时更频繁地举行会议。2022年,技术委员会举行了五次会议。 | |||||||||||||||||||||||||||||
技术委员会得到外部专家以及ASML内部专家的支持,他们在审查和讨论的主题上担任顾问。外部专家可包括客户、供应商和合作伙伴的代表,以提高委员会对开发我们领先系统所需的技术和研究的了解。 | 下面的概述详细介绍了会议期间讨论的议题 技术委员会将于2022年举行会议。 |
|||||||||||||||||||||||||||||||
第一季度 | 第三季度 | |||||||||||||||||||||||||||||||
–业务线审查:应用程序
–制定2022年技术目标
|
–业务线回顾:EUV(包括高-NA)
–下一个EUV
|
|||||||||||||||||||||||||||||||
第二季度 | 第四季度 | |||||||||||||||||||||||||||||||
–开发和工程部的审查
–访问荷兰阿姆斯特丹纳米光刻高级研究中心
|
–逻辑和内存路线图
–业务线回顾:DUV
|
|||||||||||||||||||||||||||||||
2022年第二季度,技术委员会访问了位于荷兰阿姆斯特丹的纳米光刻高级研究中心。 | ||||||||||||||||||||||||||||||||
ASML2022年年度报告
|
监督委员会的报告继续
|
战略报告 | 治理 | 金融 |
184
|
|||||||||||||||
监事会委员会(续) |
ASML2022年年度报告
|
监督委员会的报告继续
|
战略报告 | 治理 | 金融 |
185
|
|||||||||||||||
财务报表和利润分配 |
ASML2022年年度报告
|
薪酬报告 | 战略报告 | 治理 | 金融 |
186
|
|||||||||||||||||||||
薪酬报告 | 薪酬委员会主席致辞 |
公平和平衡的薪酬是我们的主要优先事项,今年,我们希望在如何奖励管理层方面提高透明度,以吸引合适的人才。 |
|
||
|
||
总的来说,ASML的领导层从高标准起步,制定了雄心勃勃的目标,能够解决和应对许多挑战。” | ||
特丽·凯利 | ||
薪酬委员会主席 |
ASML2022年年度报告
|
薪酬报告继续
|
战略报告 | 治理 | 金融 |
187
|
|||||||||||||||
薪酬委员会主席致辞(续) |
ASML2022年年度报告
|
薪酬报告继续
|
战略报告 | 治理 | 金融 |
188
|
|||||||||||||||
薪酬一览表 | ||||||||||||||||||||
薪酬是激励和留住合适人才以继续 开发我们的技术。 |
我们的2022年业绩薪酬原则支持长期成功和可持续价值 | |||||||||||
竞争力 | 薪酬结构和水平打算在相关劳动力市场上具有竞争力,同时考虑到社会趋势和观念。 | ||||||||||
对齐 | 该薪酬政策与ASML高级管理人员及ASML其他员工的短期和长期激励政策保持一致,并考虑到内部相关性。 | ||||||||||
长期方向 | 政策和激励措施侧重于可持续和长期的价值创造。 | ||||||||||
遵约 | ASML采用良好公司治理的最高标准。 | ||||||||||
简单透明 | 该政策及其执行尽可能简单,所有利益攸关方都容易理解。 |
将薪酬与宗旨和战略挂钩 | ||||||||||||||||||||||||||||||||||||||
目的 | 战略 | 激励 措施 |
支付 业绩 |
|||||||||||||||||||||||||||||||||||
通过将技术推向新的极限,释放人类和社会的潜力。 | 加强 客户信任 |
财政措施 | 报酬 结果 |
|||||||||||||||||||||||||||||||||||
整体光刻和应用 | 面向客户 | |||||||||||||||||||||||||||||||||||||
DUV 竞争力 |
||||||||||||||||||||||||||||||||||||||
技术领导 | ||||||||||||||||||||||||||||||||||||||
EUV 工业化 |
||||||||||||||||||||||||||||||||||||||
高-NA | 领导 ESG可持续发展 |
|||||||||||||||||||||||||||||||||||||
我们在2022年的表现 | ||||||||||||||||||||||||||
财务(基于美国公认会计原则) | 非金融 | |||||||||||||||||||||||||
212亿欧元 | 107亿欧元 | 65亿欧元 | 8.1 | |||||||||||||||||||||||
销售总额 | 毛利 | 经营收入 | 技术领先指数得分 | |||||||||||||||||||||||
(2021年:186亿欧元)
|
(2021年:98亿欧元)
|
(2021年:68亿欧元)
|
(2021: 8.0) | |||||||||||||||||||||||
85亿欧元 | €14.14 | 48.2% | 10.8% | |||||||||||||||||||||||
经营活动所产生的现金净额 | 收入 每股 |
ROAIC(非公认会计原则计量)1
|
道琼斯可持续发展指数 | |||||||||||||||||||||||
(2021年:108亿欧元)
|
(2021: €14.36)
|
(2021: 34.2%) | (2021: 12.1%) |
相对TSR-ASML与PHLX的比较 |
ASML2022年年度报告
|
薪酬报告继续
|
战略报告 | 治理 | 金融 |
189
|
|||||||||||||||
薪酬概览(续) |
我们的目标是使管理委员会的薪酬总额与我们的业务保持一致 通过组合策略 固定工资和短期和长期- 长期激励,以延长业绩目标为基础。 |
|||||
1700万欧元 | |||||
薪酬总额 | |||||
99.1% | |||||
实现STI目标 | |||||
182.2% | |||||
实现长期投资目标 | |||||
34:1
|
|||||
首席执行官与平均每FTE (基于美国公认会计原则) |
管理委员会 | |||||
Peter T.F.M.温宁克 | |||||
2022年薪酬总额(千欧元) | |||||
€4,280 | |||||
Martin A. van den Brink | |||||
2022年薪酬总额(千欧元) | |||||
€4,279 | |||||
Fr é d é ric J.M. Schneider-Maunoury | |||||
2022年薪酬总额(千欧元) | |||||
€2,844 | |||||
Roger J.M. Dassen | |||||
2022年薪酬总额(千欧元) | |||||
€2,834 | |||||
Christophe D. Fouquet | |||||
2022年薪酬总额(千欧元) | |||||
€2,798 |
薪酬汇总表 | ||
基薪和福利 | STI | LTI | ||||||||||||||||||||||||||||||||||||
2022年利益攸关方的参与 | |||||||||||
在2022年期间,我们与大股东和其他利益相关者进行了磋商。薪酬委员会还征求了管理委员会的意见。 | |||||||||||
股东 | |||||||||||
举行会议的组织数目 | 10 | ||||||||||
会议次数 | 10 | ||||||||||
占已发行股本的百分比 | 22% | ||||||||||
股东代表 和代理顾问 |
|||||||||||
举行会议的组织数目 | 3 | ||||||||||
会议次数 | 3 | ||||||||||
工务委员会 | |||||||||||
举行会议的组织数目 | 1 | ||||||||||
会议次数 | >5 | ||||||||||
ASML2022年年度报告
|
薪酬报告继续
|
战略报告 | 治理 | 金融 |
190
|
|||||||||||||||
薪酬委员会 |
薪酬委员会 | ||||||||||||||||||||||||||||||||
薪酬委员会就管理委员会和监督委员会的薪酬向监督委员会提供咨询意见,并编制监督委员会的决议。 | 经常性议程议题(每季度) | 出席情况 | ||||||||||||||||||||||||||||||
–管理委员会的薪酬
–监事会的薪酬
–关于短期和长期奖励目标的最新业绩
|
除了薪酬委员会成员外,薪酬委员会通常还会邀请首席执行官、执行副总裁HRO、薪酬和福利主管,在某些情况下还会邀请CFO参加(部分)会议。薪酬委员会的外部顾问在认为必要时也应邀出席薪酬委员会的会议。 | |||||||||||||||||||||||||||||||
成员: | 主要职责: | |||||||||||||||||||||||||||||||
|
–Terri Kelly(主席)
–安内特·阿里斯
–Alexander Everke
–罗尔夫-迪特·施瓦布
|
–监督管理委员会薪酬政策的制定和执行,并拟订监事会薪酬政策;
–审查并向监督委员会提出与管理委员会薪酬的可变部分有关的整体目标和目标;
–对实现这些目标以及超过这些目标的可变薪酬可能产生的财务结果进行设想分析,然后再向监事会提出这些总体目标和目标,供其核准;以及
–根据这些目标和目标评价管理委员会成员的业绩,并根据这一评价向监督委员会建议管理委员会成员的适当薪酬水平。
|
||||||||||||||||||||||||||||||
根据纳斯达克上市规则,每个成员都是我们监事会的独立非执行成员。凯利女士既不是我们管理委员会的前任成员,也不是另一家公司管理委员会的成员。目前,薪酬委员会没有成员是另一家荷兰上市公司的管理委员会成员。 | ||||||||||||||||||||||||||||||||
以下概述详细介绍了薪酬委员会2022年会议期间讨论的议题。 | ||||||||||||||||||||||||||||||||
第一季度 | 第三季度 | |||||||||||||||||||||||||||||||
–短期激励计划:2021年业绩、2021年支出和2022年目标
–长期激励计划:2019-2021年股份归属业绩期,2022-2024年有条件授予及目标业绩期
–2021年薪酬报告
–薪酬委员会的自我评价
–管理委员会薪酬政策审查,包括利益攸关方外联
–遵守股份所有权要求
|
–进度STI和LTI目标和指标
–客户导向指标
–政策和报告的最新趋势
–与工务委员会互动的报告
–管理委员会2023年薪酬,包括选择科学、技术和长期投资指标
|
|||||||||||||||||||||||||||||||
第四季度 | ||||||||||||||||||||||||||||||||
–进度STI和LTI目标
–管理委员会2023年薪酬,包括选择科学、技术和长期投资指标
–监事会薪酬基准
–关于公司治理发展的最新情况:薪酬
–为商定的薪酬程序聘请外聘审计员
–2022年薪酬报告草稿
–拥有股份所有权准则的合规管理委员会成员
–2023-2024年股票规划年度股东大会期间
|
||||||||||||||||||||||||||||||||
第二季度 | ||||||||||||||||||||||||||||||||
–没有会议
|
||||||||||||||||||||||||||||||||
委员会将继续监测管理委员会的业绩,并就薪酬水平提出建议。 | ||||||||||||||||||||||||||||||||
ASML2022年年度报告
|
薪酬报告继续
|
战略报告 | 治理 | 金融 |
191
|
|||||||||||||||
薪酬委员会(续) |
ASML2022年年度报告
|
薪酬报告继续
|
战略报告 | 治理 | 金融 |
192
|
|||||||||||||||
管理委员会薪酬 |
ASML2022年年度报告
|
薪酬报告继续
|
战略报告 | 治理 | 金融 |
193
|
|||||||||||||||
管理委员会薪酬(续) |
目前的参考小组由下列公司组成: | |||||||||||
当前参照组的组成 | |||||||||||
专注于长期技术/工业工程/研发的欧洲公司 | 半导体制造企业 | 半导体设备公司 | |||||||||
ABB | 博通 | 应用材料 | |||||||||
空客 | 英特尔 | 林研究 | |||||||||
达索系统 | 高通 | ||||||||||
英飞凌科技 | |||||||||||
林德 | |||||||||||
美敦力 | |||||||||||
诺华 | |||||||||||
恩智浦半导体 | |||||||||||
飞利浦 | |||||||||||
罗氏 | |||||||||||
SAP | |||||||||||
施耐德电气 | |||||||||||
贝壳 | |||||||||||
西门子 | |||||||||||
Siemens Healthineers | |||||||||||
ASML2022年年度报告
|
薪酬报告继续
|
战略报告 | 治理 | 金融 |
194
|
|||||||||||||||
管理委员会薪酬(续) |
最大可变补偿(目标) | 市场参考 | 浮动薪酬占基薪的百分比(最高) | 2022年可变薪酬占基薪的百分比(按目标) | ||||||||
短期激励 | 根据ASML在以第50个百分位为上限的参考组中的相对位置确定 | 总裁:120% 其他成员:100% |
主席:95% 其他成员:90% |
||||||||
长期激励 | 目标长期投资合同的最高限额为基薪的200% | 200.0 | % | 160.0 | % | ||||||
合计 | 总裁:320% 其他成员:300% |
总裁:255% 其他成员:250% |
ASML2022年年度报告
|
薪酬报告继续
|
战略报告 | 治理 | 金融 |
195
|
|||||||||||||||
管理委员会薪酬(续) |
基地 薪金 |
+ | STI 现金红利 |
+ | LTI 股份 激励 |
+ | 养恤金和其他福利 | = | 合计 报酬 |
固定薪酬(基薪) | |||||||||||||||||
与战略/基本原理的联系 | 2022年政策 | ||||||||||||||||
为管理委员会吸引、激励和留住合格的行业专业人员,以便确定和实现战略目标。 | 基准 | ||||||||||||||||
–由20家最相关的技术和研发型公司组成,其中包括ASML的人才竞争对手、同行和(间接)客户
–参考组中公司的构成考虑了ASML的地理位置——欧洲公司(75%的权重)和一些美国公司(25%的权重)
|
|||||||||||||||||
STI(现金红利) | |||||||||||||||||
与战略/基本原理的联系 | 2022年政策 | ||||||||||||||||
确保平衡关注ASML的短期(财务)业绩,以及公司未来在技术进步和客户满意度方面的持续发展,从而推动长期成功。 |
–最高目标STI:总裁基薪的120%,其他BoM成员的100%
–2022年科技投资目标:总裁基薪的95%,其他BoM成员的90%
|
||||||||||||||||
各科技创新绩效指标的权重如下: | |||||||||||||||||
–60%财务
–20%技术领先指数
–20%面向客户
|
|||||||||||||||||
LTI(股权激励) | |||||||||||||||||
与战略/基本原理的联系 | 2022年政策 | ||||||||||||||||
运用兼顾ASML投资者直接利益、ASML长期财务成功、技术进步长期持续以及可持续性的环境和社会维度的绩效衡量标准,为ASML的战略、长期利益和可持续性做出贡献。 | 长期投资协定最高目标:以基薪的200%为上限 2022年长期投资目标:基薪的160% |
||||||||||||||||
各个LTI绩效指标的权重如下: | |||||||||||||||||
–30%相对股东总回报
–20-30 %的ESG衡量标准;2022年权重:20%
–20-30 %技术领先指数;2022年权重:20%
–20-30 %战略价值驱动因素;2022年权重:30%
|
|||||||||||||||||
固定薪酬的其他要素(养恤金和其他福利) | |||||||||||||||||
与战略/基本原理的联系 | 2022年政策 | ||||||||||||||||
有助于提高整体薪酬方案的竞争力,并使其与市场惯例保持一致。 |
–基于ASML在荷兰雇员的‘超额’(补充)安排的养恤金安排–固定缴款计划
–费用报销,如公司车费、旅费、代表津贴、住房费(税前毛额)、社会保障费以及健康和伤残保险费
|
||||||||||||||||
股份所有权准则 | |||||||||||||||||
与战略/基本原理的联系 | 2022年政策 | ||||||||||||||||
对管理委员会成员最低股份所有权的要求。确保管理委员会成员的利益与ASML的长期价值创造保持一致。 |
–主席三倍年基薪,联委会其他成员两倍年基薪
–新成员遵守的5年期限
–监事会有酌处权允许在特殊情况下出现暂时偏离
–任何亏空将通过下一次股份归属予以弥补
|
||||||||||||||||
ASML2022年年度报告
|
薪酬报告继续
|
战略报告 | 治理 | 金融 |
196
|
|||||||||||||||
管理委员会薪酬(续) |
薪酬基准 | |||||||||||||||||||||||
参考小组 | 2021年政策 | 2022年政策 | |||||||||||||||||||||
–由来自不同行业的类似规模的欧洲公司组成
|
–由20家最相关的技术和研发导向的公司组成,其中包括ASML的竞争对手以及商业同行和(间接)客户
–参照组公司的组成考虑到ASML的地理位置–它在欧洲公司中所占的比重(75%权重),一些美国公司(25%的权重)
|
||||||||||||||||||||||
奖励水平 | |||||||||||||||||||||||
2021年政策 | 2022年政策 | ||||||||||||||||||||||
STI |
–目标:基薪80%(总裁和其他BoM成员)
|
–分阶段从总裁基薪的80%提高到基薪的120%,其他BoM成员则提高到100%
|
|||||||||||||||||||||
LTI |
–目标:基薪120%(总裁和其他BoM成员)
|
–分阶段将总裁和其他BoM成员的基薪从120%提高到基薪的200%
|
|||||||||||||||||||||
业绩计量 | |||||||||||||||||||||||
2021年政策 | 2022年政策 | ||||||||||||||||||||||
STI | 单个绩效指标的权重: | 单个绩效指标的权重: | |||||||||||||||||||||
–60%财务
–20%技术领先指数
–20%市场地位
|
–60%财务
–20%技术领先指数
–20%面向客户
|
||||||||||||||||||||||
LTI |
–与PHLX指数相比,阈值支付率为-20 %
–(门槛支出为目标的50%)
|
–提议的绩效激励区域调整为基于百分位的相对TSR排序方法,而不是固定范围
–降低归属水平支付,25个百分位的业绩为目标的25%
|
|||||||||||||||||||||
单个绩效指标的权重: | 单个绩效指标的权重: | ||||||||||||||||||||||
–40% Roaic
–30%相对股东总回报
–20%技术领先指数
–10%可持续性
|
–30%战略价值驱动因素
–30%相对股东总回报
–20%技术领先指数
–20% ESG
|
||||||||||||||||||||||
ASML2022年年度报告
|
薪酬报告继续
|
战略报告 | 治理 | 金融 |
197
|
|||||||||||||||
管理委员会薪酬(续) |
ASML2022年年度报告
|
薪酬报告继续
|
战略报告 | 治理 | 金融 |
198
|
|||||||||||||||
管理委员会薪酬(续) |
业绩目标1
|
实际结果 |
付款2
|
||||||||||||||||||
重量 | 门槛 | 目标 | 伸展 | %目标 | ||||||||||||||||
息税前利润率(%)(非公认会计原则计量) | 60% | 33% | 35% | 37% | 34.5 | % | 88.1 | % | ||||||||||||
面向客户 | 20% | 105.0 | % | |||||||||||||||||
由以下同等权重的次级目标组成: | ||||||||||||||||||||
应用市场份额 | 5% | * | 120.0 | % | ||||||||||||||||
DUV输出(系统) | 5% | * | — | % | ||||||||||||||||
EUV可用性 | 5% | * | 150.0 | % | ||||||||||||||||
VLSI客户调查 | 5% | 前5名 | 前3名 | 前2名 | 前2名 | 150.0 | % | |||||||||||||
技术领先指数 | 20% | 4 | 6 | 10 | 8.1 | 126.3 | % | |||||||||||||
合计 | 100% | 99.1 | % |
重量 | |||||
息税前利润率(%)(非公认会计原则计量) | 60% | ||||
面向客户 | 20% | ||||
由以下同等权重的次级目标组成: | |||||
应用市场份额 | 5% | ||||
DUV输出(系统) | 5% | ||||
EUV可用性 | 5% | ||||
TechInsights(f.k.a. VLSI)客户调查 | 5% | ||||
技术领先指数 | 20% | ||||
合计 | 100% |
ASML2022年年度报告
|
薪酬报告继续
|
战略报告 | 治理 | 金融 |
199
|
|||||||||||||||
管理委员会薪酬(续) |
业绩指标 | 业绩目标 | |||||||||||||
重量 | 门槛 | 目标 | 最大值 | |||||||||||
相对TSR | 30% | 下四分位数 | 中位数 | 上四分位数 | ||||||||||
正常化三年平均现金兑换率%1
|
30% | 80.0% | 90.0% | 95.0% | ||||||||||
ESG措施 | 20% | |||||||||||||
包括: | ||||||||||||||
每片晶圆通过的EUV能耗 | 7.0千瓦时 | 6.5千瓦时 | 6.0千瓦时 | |||||||||||
员工敬业度 | X2 – 4%点 | X2 – 3%点 | X2 | |||||||||||
JG13 +中的女性代表% | 10% | 12% | 14% | |||||||||||
技术领先指数 | 20% | 4 | 6 | 10 | ||||||||||
合计 | 100% |
ASML2022年年度报告
|
薪酬报告继续
|
战略报告 | 治理 | 金融 |
200
|
|||||||||||||||
管理委员会薪酬(续) |
业绩目标 | 实际执行情况 |
支付%2
|
||||||||||||||||||||||||
业绩指标 | 重量 | 门槛 | 目标 | 超过 | 伸展 | |||||||||||||||||||||
相对TSR | 30% | (20%) | 0% | 不适用 | 20% | 41.4% | 200% | |||||||||||||||||||
罗艾克1
|
40% | 29.5% | 31.0% | 32.5% | 34.0% | 48.2% | 200% | |||||||||||||||||||
技术领先指数 | 20% | 4 | 6 | 8 | 10 | 8.3 | 158.3% | |||||||||||||||||||
可持续性 | 10% | ≤13.5% | ≤11% | 不适用 | ≤6% | 10.8% | 104.9% | |||||||||||||||||||
合计 | 100% | 182.2% |
3
|
业绩目标 | ||||||||||||||
业绩指标 | 重量 | 门槛 | 目标 | 最大值 | ||||||||||
相对TSR | 30% | 根据薪酬政策 | ||||||||||||
正常化三年平均现金兑换率%1
|
30% | 85% | 90% | 95% | ||||||||||
ESG措施 | 20% | |||||||||||||
包括: | ||||||||||||||
净零排放(范围1 + 2),最低补偿 | < 37kT补偿 | < 30kT补偿 | < 20kT补偿 | |||||||||||
员工敬业度 |
X2–4%
|
X2–2%
|
X2
|
|||||||||||
共计和JG9 +女性流入 | 22% | 24% | 26% | |||||||||||
技术领先指数 | 20% | 4 | 6 | 10 | ||||||||||
合计 | 100% |
ASML2022年年度报告
|
薪酬报告继续
|
战略报告 | 治理 | 金融 |
201
|
|||||||||||||||
管理委员会薪酬(续) |
管理委员会 | 所有权准则 | 2022年基薪(千欧元) | 已发行的既得股份数目 |
持股比例1
|
||||||||||
P.T.F.M.温宁克 | 3x基数 | 1,020 | 38,047 | 18.79 | ||||||||||
van den Brink硕士 | 3x基数 | 1,020 | 11,923 | 5.89 | ||||||||||
F.J.M.施奈德-莫努里 | 2x基数 | 694 | 17,903 | 13.00 | ||||||||||
R.J.M.达森 | 2x基数 | 694 | 15,549 | 11.29 | ||||||||||
光碟Fouquet | 2x基数 | 694 | 6,470 | 4.70 |
ASML2022年年度报告
|
薪酬报告继续
|
战略报告 | 治理 | 金融 |
202
|
|||||||||||||||
管理委员会薪酬(续) |
董事会 管理 |
金融 年份 |
基薪
|
养恤金
|
其他福利
|
固定总额 | %固定 | STI | LTI | 总变量 | %变量 | 薪酬总额 | 固定与可变的相对比例 | ||||||||||||||||||||||||||
P.T.F.M.温宁克 | 2022 | 1,020 | 206 | 58 | 1,284 | 30.0 | % | 961 | 2,035 | 2,996 | 70.0 | % | 4,280 | 0.43 | ||||||||||||||||||||||||
2021 | 1,020 | 206 | 57 | 1,283 | 26.6 | % | 1,098 | 2,439 | 3,537 | 73.4 | % | 4,820 | 0.36 | |||||||||||||||||||||||||
2020 | 1,020 | 216 | 57 | 1,293 | 28.3 | % | 1,135 | 2,136 | 3,271 | 71.7 | % | 4,564 | 0.40 | |||||||||||||||||||||||||
van den Brink硕士 | 2022 | 1,020 | 206 | 57 | 1,283 | 30.0 | % | 961 | 2,035 | 2,996 | 70.0 | % | 4,279 | 0.43 | ||||||||||||||||||||||||
2021 | 1,020 | 206 | 56 | 1,282 | 26.6 | % | 1,098 | 2,439 | 3,537 | 73.4 | % | 4,819 | 0.36 | |||||||||||||||||||||||||
2020 | 1,020 | 216 | 57 | 1,293 | 28.3 | % | 1,135 | 2,136 | 3,271 | 71.7 | % | 4,564 | 0.40 | |||||||||||||||||||||||||
F.J.M.施奈德-莫努里 | 2022 | 694 | 141 | 36 | 871 | 30.6 | % | 619 | 1,354 | 1,973 | 69.4 | % | 2,844 | 0.44 | ||||||||||||||||||||||||
2021 | 694 | 115 | 36 | 845 | 26.8 | % | 747 | 1,566 | 2,313 | 73.2 | % | 3,158 | 0.37 | |||||||||||||||||||||||||
2020 | 694 | 122 | 36 | 852 | 29.1 | % | 773 | 1,302 | 2,075 | 70.9 | % | 2,927 | 0.41 | |||||||||||||||||||||||||
R.J.M.达森 | 2022 | 694 | 116 | 51 | 861 | 30.4 | % | 619 | 1,354 | 1,973 | 69.6 | % | 2,834 | 0.44 | ||||||||||||||||||||||||
2021 | 694 | 115 | 51 | 860 | 22.6 | % | 747 | 2,193 | 2,940 | 77.4 | % | 3,800 | 0.29 | |||||||||||||||||||||||||
2020 | 694 | 100 | 51 | 845 | 22.2 | % | 773 | 2,186 | 2,959 | 77.8 | % | 3,804 | 0.29 | |||||||||||||||||||||||||
光碟Fouquet | 2022 | 694 | 78 | 53 | 825 | 29.5 | % | 619 | 1,354 | 1,973 | 70.5 | % | 2,798 | 0.42 | ||||||||||||||||||||||||
2021 | 694 | 78 | 52 | 824 | 26.3 | % | 747 | 1,566 | 2,313 | 73.7 | % | 3,137 | 0.36 | |||||||||||||||||||||||||
2020 | 694 | 83 | 51 | 828 | 27.8 | % | 773 | 1,374 | 2,147 | 72.2 | % | 2,975 | 0.39 | |||||||||||||||||||||||||
管理委员会共计 | 2022 | 4,122 | 747 | 255 | 5,124 | 30.1 | % | 3,779 | 8,132 | 11,911 | 69.9 | % | 17,035 | 0.43 | ||||||||||||||||||||||||
2021 | 4,122 | 720 | 252 | 5,094 | 25.8 | % | 4,437 | 10,203 | 14,640 | 74.2 | % | 19,734 | 0.35 | |||||||||||||||||||||||||
2020 | 4,122 | 737 | 252 | 5,111 | 27.1 | % | 4,589 | 9,134 | 13,723 | 72.9 | % | 18,834 | 0.37 | |||||||||||||||||||||||||
ASML2022年年度报告
|
薪酬报告继续
|
战略报告 | 治理 | 金融 |
203
|
|||||||||||||||
管理委员会薪酬(续) |
前管理委员会 | 金融 年份 |
基薪
|
养恤金
|
其他福利
|
固定总额 | %固定 | STI | LTI | 总变量 | %变量 | 薪酬总额 | 固定与可变的相对比例 | ||||||||||||||||||||||||||
F.J.范豪特1
|
2021 | 231 | 47 | 16 | 294 | 11.4 | % | 243 | 2,036 | 2,279 | 88.6 | % | 2,573 | 0.13 | ||||||||||||||||||||||||
2020 | 694 | 122 | 47 | 863 | 29.4 | % | 773 | 1,302 | 2,075 | 70.6 | % | 2,938 | 0.42 |
ASML2022年年度报告
|
薪酬报告继续
|
战略报告 | 治理 | 金融 |
204
|
|||||||||||||||
管理委员会薪酬(续) |
基于市场的要素 | 非市场因素 | ||||||||||||||||||||||||||||||||||||||||
董事会 管理 |
授予日期 | 现状 | 完全控制 | 目标股份数 | 授予日的公允价值 | 目标股份数 | 授予日的公允价值 |
目标股份总数
|
最多股份总数(200%) | 归属日期 |
公布日期的既得股份数目
|
归属年份年终收盘价
|
锁定期结束 | ||||||||||||||||||||||||||||
P.T.F.M.温宁克 | 4/29/22 | 有条件 | 无 | 709 | 596.0 | 1,655 | 533.5 | 2,364 | 4,727 | 1/1/25 | 不适用 | 不适用 | 1/1/27 | ||||||||||||||||||||||||||||
1/22/21 | 有条件 | 无 | 1,053 | 635.6 | 2,455 | 454.9 | 3,508 | 7,016 | 1/1/24 | 不适用 | 不适用 | 1/1/26 | |||||||||||||||||||||||||||||
1/24/20 | 无条件 | 无 | 1,387 | 286.9 | 3,235 | 263.7 | 4,622 | 9,245 | 1/1/23 | 8,420 | 503.8 | 1/1/25 | |||||||||||||||||||||||||||||
7/19/19 | 无条件 | 无 | 2,217 | 245.4 | 5,173 | 194.4 | 7,390 | 14,780 | 1/1/22 | 13,326 | 706.7 | 1/1/24 | |||||||||||||||||||||||||||||
1/19/18 | 无条件 | 无 | 1,958 | 215.1 | 4,570 | 162.8 | 6,528 | 13,056 | 1/19/21 | 9,566 | 439.9 | 1/19/23 | |||||||||||||||||||||||||||||
van den Brink硕士 | 4/29/22 | 有条件 | 无 | 709 | 596.0 | 1,655 | 533.5 | 2,364 | 4,727 | 1/1/25 | 不适用 | 不适用 | 1/1/27 | ||||||||||||||||||||||||||||
1/22/21 | 有条件 | 无 | 1,053 | 635.6 | 2,455 | 454.9 | 3,508 | 7,016 | 1/1/24 | 不适用 | 不适用 | 1/1/26 | |||||||||||||||||||||||||||||
1/24/20 | 无条件 | 无 | 1,387 | 286.9 | 3,235 | 263.7 | 4,622 | 9,245 | 1/1/23 | 8,420 | 503.8 | 1/1/25 | |||||||||||||||||||||||||||||
7/19/19 | 无条件 | 无 | 2,217 | 245.4 | 5,173 | 194.4 | 7,390 | 14,780 | 1/1/22 | 13,326 | 706.7 | 1/1/24 | |||||||||||||||||||||||||||||
1/19/18 | 无条件 | 无 | 1,958 | 215.1 | 4,570 | 162.8 | 6,528 | 13,056 | 1/19/21 | 9,566 | 439.9 | 1/19/23 | |||||||||||||||||||||||||||||
F.J.米。 施奈德-莫努里 |
4/29/22 | 有条件 | 无 | 483 | 596.0 | 1,126 | 533.5 | 1,609 | 3,217 | 1/1/25 | 不适用 | 不适用 | 1/1/27 | ||||||||||||||||||||||||||||
1/22/21 | 有条件 | 无 | 717 | 635.6 | 1,670 | 454.9 | 2,387 | 4,774 | 1/1/24 | 不适用 | 不适用 | 1/1/26 | |||||||||||||||||||||||||||||
1/24/20 | 无条件 | 无 | 858 | 286.9 | 2,001 | 263.7 | 2,859 | 5,718 | 1/1/23 | 5,208 | 503.8 | 1/1/25 | |||||||||||||||||||||||||||||
7/19/19 | 无条件 | 无 | 1,371 | 245.4 | 3,198 | 194.4 | 4,569 | 9,137 | 1/1/22 | 8,239 | 706.7 | 1/1/24 | |||||||||||||||||||||||||||||
1/19/18 | 无条件 | 无 | 1,125 | 215.1 | 2,626 | 162.8 | 3,751 | 7,502 | 1/19/21 | 5,496 | 439.9 | 1/19/23 | |||||||||||||||||||||||||||||
R.J.M.达森 | 4/29/22 | 有条件 | 无 | 483 | 596.0 | 1,126 | 533.5 | 1,609 | 3,217 | 1/1/25 | 不适用 | 不适用 | 1/1/27 | ||||||||||||||||||||||||||||
1/22/21 | 有条件 | 无 | 717 | 635.6 | 1,670 | 454.9 | 2,387 | 4,774 | 1/1/24 | 不适用 | 不适用 | 1/1/26 | |||||||||||||||||||||||||||||
1/24/20 | 无条件 | 无 | 858 | 286.9 | 2,001 | 263.7 | 2,859 | 5,718 | 1/1/23 | 5,208 | 503.8 | 1/1/25 | |||||||||||||||||||||||||||||
7/19/19 | 无条件 | 无 | 1,371 | 245.4 | 3,198 | 194.4 | 4,569 | 9,137 | 1/1/22 | 8,239 | 706.7 | 1/1/24 | |||||||||||||||||||||||||||||
1/25/19 | 无条件 | 无 | 3,000 | 169.0 | 7,000 | 148.3 | 10,000 | 20,000 | 1/1/22 | 18,032 | 706.7 | 1/1/24 | |||||||||||||||||||||||||||||
7/20/18 | 无条件 | 无 | 657 | 274.6 | 1,531 | 185.0 | 2,188 | 4,376 | 1/19/21 | 3,207 | 439.9 | 1/19/23 | |||||||||||||||||||||||||||||
光碟Fouquet | 4/29/22 | 有条件 | 无 | 483 | 596.0 | 1,126 | 533.5 | 1,609 | 3,217 | 1/1/25 | 不适用 | 不适用 | 1/1/27 | ||||||||||||||||||||||||||||
1/22/21 | 有条件 | 无 | 717 | 635.6 | 1,670 | 454.9 | 2,387 | 4,774 | 1/1/24 | 不适用 | 不适用 | 1/1/26 | |||||||||||||||||||||||||||||
1/24/20 | 无条件 | 无 | 858 | 286.9 | 2,001 | 263.7 | 2,859 | 5,718 | 1/1/23 | 5,208 | 503.8 | 1/1/25 | |||||||||||||||||||||||||||||
7/19/19 | 无条件 | 无 | 1,371 | 245.4 | 3,198 | 194.4 | 4,569 | 9,137 | 1/1/22 | 8,239 | 706.7 | 1/1/24 | |||||||||||||||||||||||||||||
7/20/18 | 无条件 | 无 | 844 | 274.6 | 1,969 | 185.0 | 2,813 | 5,626 | 1/19/21 | 4,122 | 439.9 | 1/19/23 |
ASML2022年年度报告
|
薪酬报告继续
|
战略报告 | 治理 | 金融 |
205
|
|||||||||||||||
管理委员会薪酬(续) |
基于市场的要素 | 非市场因素 | ||||||||||||||||||||||||||||||||||||||||
前管理委员会 | 授予日期 | 现状 | 完全控制 | 目标股份数 | 授予日的公允价值 | 目标股份数 | 授予日的公允价值 |
目标股份总数
|
最多股份总数(200%) | 归属日期 |
公布日期的既得股份数目
|
归属年份年终收盘价
|
锁定期结束 | ||||||||||||||||||||||||||||
F.J.范豪特 | 1/22/21 | 有条件 | 无 | 239 | 635.6 | 557 | 454.9 | 796 | 1,592 | 1/1/24 | 不适用 | 不适用 | 1/1/26 | ||||||||||||||||||||||||||||
1/24/20 | 无条件 | 无 | 858 | 286.9 | 2,001 | 263.7 | 2,859 | 5,718 | 1/1/23 | 5,208 | 503.8 | 1/1/25 | |||||||||||||||||||||||||||||
7/19/19 | 无条件 | 无 | 1,371 | 245.4 | 3,198 | 194.4 | 4,569 | 9,137 | 1/1/22 | 8,239 | 706.7 | 1/1/24 | |||||||||||||||||||||||||||||
1/19/18 | 无条件 | 无 | 1,125 | 215.1 | 2,626 | 162.8 | 3,751 | 7,501 | 1/19/21 | 5,496 | 439.9 | 1/19/23 | |||||||||||||||||||||||||||||
仪器: | 业绩股 | |||||||
格兰特 | 根据可实现的最大机会,每年提供有条件的赠款。有条件授予的业绩股数量是使用有条件授予前一年最后一个季度的成交量加权平均股价计算的。 | |||||||
授予日期 | 有条件授予业绩股份的日期。 | |||||||
执行期 | 衡量预定业绩目标实现情况的三年期间。 | |||||||
归属 | 在业绩期结束后,股份将成为无条件股份,具体取决于预定业绩目标的实现程度。 | |||||||
锁定期 | 最短持有期为归属日后两年。 | |||||||
合同终止后,转让限制将在持有期内保持不变,除非发生死亡。 | ||||||||
如果管理委员会成员应就收回的可变收入缴纳税款,根据法律和内部条例,业绩股可在归属时部分出售(“出售补足”)。 |
ASML2022年年度报告
|
薪酬报告继续
|
战略报告 | 治理 | 金融 |
206
|
|||||||||||||||
管理委员会薪酬(续) |
12月31日终了年度(千欧元) |
20181
|
变化(%)1
|
2019 | 变化(%) | 2020 | 变化(%) | 2021 | 变化(%) | 2022 | 变化(%) | ||||||||||||||||||||||
净销售额 | 10,944,016 | 22 | % | 11,820,001 | 8 | % | 13,978,452 | 18 | % | 18,610,994 | 33 | % | 21,173,448 | 14 | % | |||||||||||||||||
基于美国公认会计原则的净收入 | 2,591,614 | 25 | % | 2,592,252 | — | % | 3,553,670 | 37 | % | 5,883,177 | 66 | % | 5,624,209 | (4) | % | |||||||||||||||||
基于欧盟-国际财务报告准则的净收入 | 2,525,515 | 16 | % | 2,581,107 | 2 | % | 3,696,813 | 43 | % | 6,134,595 | 66 | % | 6,395,775 | 4 | % | |||||||||||||||||
ASML股价(阿姆斯特丹泛欧交易所收盘价,单位:欧元) | 137.2 | (6) | % | 263.7 | 92 | % | 397.6 | 51 | % | 706.7 | 78 | % | 503.8 | (29) | % | |||||||||||||||||
金融服务机构的平均发薪雇员人数 | 18,204 | 20 | % | 22,192 | 22 | % | 24,727 | 11 | % | 28,223 | 14 | % | 33,071 | 17 | % | |||||||||||||||||
薪酬P.T.F.M.Wennink(首席执行官) | 3,433 | (1) | % | 4,361 | 27 | % | 4,564 | 5 | % | 4,820 | 6 | % | 4,280 | (11) | % | |||||||||||||||||
薪酬M.A. van den Brink | 3,431 | (1) | % | 4,360 | 27 | % | 4,564 | 5 | % | 4,819 | 6 | % | 4,279 | (11) | % | |||||||||||||||||
薪酬R.J.M. Dassen | 897 | — | 2,956 | 230 | % | 3,804 | 29 | % | 3,800 | — | % | 2,834 | (25) | % | ||||||||||||||||||
薪酬C. D. Fouquet | 1,125 | — | 2,203 | 96 | % | 2,975 | 35 | % | 3,137 | 5 | % | 2,798 | (11) | % | ||||||||||||||||||
薪酬F.J.M. Schneider-Maunoury | 2,169 | (4) | % | 2,724 | 26 | % | 2,927 | 7 | % | 3,158 | 8 | % | 2,844 | (10) | % | |||||||||||||||||
每个FTE的平均薪酬2基于 美国公认会计原则
|
115 | (2) | % | 114 | (1) | % | 120 | 5 | % | 122 | 2 | % | 125 | 2 | % | |||||||||||||||||
每个FTE的平均薪酬2基于欧盟-国际财务报告准则
|
115 | (2) | % | 114 | (1) | % | 120 | 5 | % | 122 | 2 | % | 118 | (3) | % | |||||||||||||||||
内部薪酬比率(CEO与基于美国通用会计准则的员工薪酬)2
|
30 | — | % | 38 | 27 | % | 38 | — | % | 40 | 5 | % | 34 | (15) | % | |||||||||||||||||
内部薪酬比率(CEO与基于欧盟-国际财务报告准则的员工薪酬)2
|
30 | — | % | 38 | 27 | % | 38 | — | % | 40 | 5 | % | 36 | (10) | % | |||||||||||||||||
首席执行干事年度薪酬总额增长百分比与所有雇员平均年度薪酬增长百分比的比率3基于美国公认会计原则
|
(5.5) | 不适用 | ||||||||||||||||||||||||||||||
首席执行干事年度薪酬总额增长百分比与所有雇员平均年度薪酬增长百分比的比率3基于欧盟-国际财务报告准则
|
3.7 | 不适用 |
ASML2022年年度报告
|
薪酬报告继续
|
战略报告 | 治理 | 金融 |
207
|
|||||||||||||||
管理委员会薪酬(续) |
ASML2022年年度报告
|
薪酬报告继续
|
战略报告 | 治理 | 金融 |
208
|
|||||||||||||||
监事会薪酬 |
ASML2022年年度报告
|
薪酬报告继续
|
战略报告 | 治理 | 金融 |
209
|
|||||||||||||||
监事会薪酬(续) |
固定薪酬 | ||||||||||||||||||||
说明 | 价值 | |||||||||||||||||||
基本会员费 | 监事会主席 | €130,000 | ||||||||||||||||||
监事会副主席 | €94,000 | |||||||||||||||||||
监事会成员 | €75,000 | |||||||||||||||||||
审计委员会主席 | €25,500 | |||||||||||||||||||
成员审计委员会 | €18,000 | |||||||||||||||||||
其他委员会主席 | €20,000 | |||||||||||||||||||
其他委员会成员 | €14,500 | |||||||||||||||||||
洲际会议额外津贴 | ||||||||||||||||||||
说明 | 价值 | |||||||||||||||||||
因洲际旅行额外时间承付而支付的额外固定津贴 | 每次会议涉及洲际旅行的费用为5000欧元 | |||||||||||||||||||
费用 | ||||||||||||||||||||
说明 | 价值 | |||||||||||||||||||
与出席会议有关的费用得到偿还。此外,还支付固定费用净额津贴,用于支付某些预先确定的自付费用 | 视开支水平而定 | |||||||||||||||||||
监事会主席 | €1,980 | |||||||||||||||||||
监事会成员 | €1,380 | |||||||||||||||||||
贷款和担保 | ||||||||||||||||||||
说明 | 价值 | |||||||||||||||||||
不会批出任何(个人)贷款或担保等 | 不适用 | |||||||||||||||||||
股份和股份所有权 | ||||||||||||||||||||
说明 | 价值 | |||||||||||||||||||
股份的任何(权利)均不以酬金方式授予。持有ASML的任何股票的目的都是为了进行长期投资。任何交易活动均受ASML的《内幕交易规则》的约束 | 不适用 | |||||||||||||||||||
其他安排 | ||||||||||||||||||||
说明 | 价值 | |||||||||||||||||||
(Re)根据荷兰法律和ASML的《公司章程》进行任命。现时并无任何追讨、遣散或更改控制安排 | 不适用 | |||||||||||||||||||
ASML2022年年度报告
|
薪酬报告继续
|
战略报告 | 治理 | 金融 |
210
|
|||||||||||||||
监事会薪酬(续) |
会员费用2022
|
2022年委员会费用
|
2022年津贴
|
2022年固定与可变比例
|
2022年薪酬总额
|
2021年薪酬总额
|
2020年薪酬总额
|
2019年薪酬总额
|
2018年薪酬总额
|
|||||||||||||||||||||
G.J. Kleisterlee | 130 | 53 | 7 | 100:0 | 190 | 178 | 157 | 154 | 138 | ||||||||||||||||||||
A.P. Aris | 94 | 44 | 6 | 100:0 | 144 | 127 | 95 | 98 | 80 | ||||||||||||||||||||
康尼克斯B.M。
|
75 | 18 | 6 | 100:0 | 99 | 63 | 不适用 | 不适用 | 不适用 | ||||||||||||||||||||
Durcan博士 | 75 | 35 | 16 | 100:0 | 126 | 112 | 57 | 不适用 | 不适用 | ||||||||||||||||||||
东华盛顿 | 75 | 18 | 6 | 100:0 | 99 | 93 | 59 | 不适用 | 不适用 | ||||||||||||||||||||
凯利 | 75 | 35 | 16 | 100:0 | 126 | 107 | 88 | 101 | 60 | ||||||||||||||||||||
施瓦布博士 | 75 | 40 | 1 | 100:0 | 116 | 113 | 104 | 101 | 88 | ||||||||||||||||||||
艾佛克A.F.M。
|
50 | 10 | 6 | 100:0 | 66 | 不适用 | 不适用 | 不适用 | 不适用 | ||||||||||||||||||||
A.L. Steegen | 50 | 10 | 6 | 100:0 | 66 | 不适用 | 不适用 | 不适用 | 不适用 | ||||||||||||||||||||
合计 | 699 | 263 | 70 | 100:0 | 1,032 | 793 | 560 | 454 | 366 |
会员费用2022
|
2022年委员会费用
|
2022年津贴
|
2022年固定与可变比例
|
2022年薪酬总额
|
2021年薪酬总额
|
2020年薪酬总额
|
|||||||||||||||||
J.M.C. Stork | 25 | 10 | 5 | 100:0 | 40 | 113 | 100 | ||||||||||||||||
D.A.格罗斯 | 不适用 | 不适用 | 不适用 | 不适用 | 不适用 | 36 | 117 | ||||||||||||||||
司徒斯密斯·纳斯特林 | 不适用 | 不适用 | 不适用 | 不适用 | 不适用 | 31 | 95 | ||||||||||||||||
齐巴特 | 不适用 | 不适用 | 不适用 | 不适用 | 不适用 | 不适用 | 30 | ||||||||||||||||
合计 | 25 | 10 | 5 | 40 | 180 | 342 |
ASML2022年年度报告
|
薪酬报告继续
|
战略报告 | 治理 | 金融 |
211
|
|||||||||||||||
薪酬报告-其他资料 |
ASML2022年年度报告
|
战略报告 | 治理 | 金融 |
212
|
金融和非金融 | ||||||||||||||
在这一节 | ||||||||||||||
合并财务报表 | ||||||||||||||
独立注册会计师事务所的报告 | ||||||||||||||
综合业务报表 | ||||||||||||||
综合收益表 | ||||||||||||||
合并资产负债表 | ||||||||||||||
合并股东权益报表 | ||||||||||||||
合并现金流量表 | ||||||||||||||
合并财务报表附注 | ||||||||||||||
非财务报表 | ||||||||||||||
独立审计员的鉴证报告 | ||||||||||||||
关于非财务信息 | ||||||||||||||
非财务指标 |
ASML2022年年度报告
|
战略报告 | 治理 | 金融 |
213
|
合并财务报表 | ||||||||||||||
在这一节 | ||||||||||||||
独立注册会计师事务所的报告 | ||||||||||||||
综合业务报表 | ||||||||||||||
综合收益表 | ||||||||||||||
合并资产负债表 | ||||||||||||||
合并股东权益报表 | ||||||||||||||
合并现金流量表 | ||||||||||||||
合并财务报表附注 | ||||||||||||||
ASML2022年年度报告
|
合并财务报表 | 战略报告 | 治理 | 金融 |
214
|
|||||||||||||||
独立注册会计师事务所的报告 | ||||||||||||||||||||
致股东和监事会 |
ASML2022年年度报告
|
合并财务报表继续
|
战略报告 | 治理 | 金融 |
215
|
|||||||||||||||
独立注册会计师事务所的报告(续) |
ASML2022年年度报告
|
合并财务报表 | 战略报告 | 治理 | 金融 |
216
|
|||||||||||||||
综合业务报表
|
截至12月31日止年度(百万欧元,每股数据除外) | 注意事项 | 2020 | 2021 | 2022 | |||||||||||||
净系统销售额 |
|
|
|
||||||||||||||
服务和外地期权销售净额 |
|
|
|
||||||||||||||
净销售总额 | 2, 3 |
|
|
|
|||||||||||||
系统销售费用 | (
|
(
|
(
|
||||||||||||||
服务费用和外地期权销售 | (
|
(
|
(
|
||||||||||||||
销售费用共计1
|
(
|
(
|
(
|
||||||||||||||
毛利 |
|
|
|
||||||||||||||
研究和开发费用 | (
|
(
|
(
|
||||||||||||||
销售、一般和行政费用 | (
|
(
|
(
|
||||||||||||||
其他收益 | 10 |
|
|
|
|||||||||||||
经营收入 |
|
|
|
||||||||||||||
利息及其他净额 | 16 | (
|
(
|
(
|
|||||||||||||
所得税前收入 |
|
|
|
||||||||||||||
所得税费用 | 21 | (
|
(
|
(
|
|||||||||||||
所得税后收入 |
|
|
|
||||||||||||||
权益法投资利润 | 9 |
|
|
|
|||||||||||||
净收入 |
|
|
|
||||||||||||||
每股普通股基本净收入 | 23 |
|
|
|
|||||||||||||
每股普通股摊薄净收益 | 23 |
|
|
|
|||||||||||||
用于计算每股数额的普通股数: | |||||||||||||||||
基本 | 23 |
|
|
|
|||||||||||||
摊薄 | 23 |
|
|
|
ASML2022年年度报告
|
合并财务报表继续
|
战略报告 | 治理 | 金融 |
217
|
|||||||||||||||
综合收益表 |
截至12月31日止年度(百万欧元) | 注意事项 | 2020 | 2021 | 2022 | |||||||||||||
净收入 |
|
|
|
||||||||||||||
其他综合收入: | |||||||||||||||||
权益法投资在其他综合收益中所占比例 | (
|
|
|
||||||||||||||
扣除税款后的外币折算: | |||||||||||||||||
外币折算收益(亏损) | (
|
|
|
||||||||||||||
扣除税款后的金融工具: | |||||||||||||||||
衍生金融工具的收益(损失) | 25 | (
|
|
|
|||||||||||||
转入净收入 | 25 | (
|
|
(
|
|||||||||||||
其他综合收入,税后净额 | (
|
|
|
||||||||||||||
综合收入总额,税后净额 |
|
|
|
||||||||||||||
归属于股东 |
|
|
|
ASML2022年年度报告
|
合并财务报表继续
|
战略报告 | 治理 | 金融 |
218
|
|||||||||||||||
合并资产负债表 |
截至12月31日(欧元,百万,股票和每股数据除外) | 注意事项 | 2021 | 2022 | |||||||||||
物业、厂房及设备 | ||||||||||||||
现金及现金等价物 | 4 |
|
|
|||||||||||
短期投资 | 4 |
|
|
|||||||||||
应收账款净额 | 5 |
|
|
|||||||||||
应收融资款项,净额 | 6 |
|
|
|||||||||||
当前税收资产 | 21 |
|
|
|||||||||||
合同资产 | 2 |
|
|
|||||||||||
库存,净额 | 7 |
|
|
|||||||||||
其他资产1
|
8 |
|
|
|||||||||||
流动资产总额 |
|
|
||||||||||||
应收融资款项,净额 | 6 |
|
|
|||||||||||
递延所得税资产 | 21 |
|
|
|||||||||||
应收贷款2
|
26 |
|
|
|||||||||||
其他资产3
|
8 |
|
|
|||||||||||
权益法投资 | 9 |
|
|
|||||||||||
商誉 | 11 |
|
|
|||||||||||
其他无形资产净额 | 12 |
|
|
|||||||||||
固定资产、工厂及设备,净值 | 13 |
|
|
|||||||||||
使用权资产 | 14 |
|
|
|||||||||||
非流动资产合计 |
|
|
||||||||||||
总资产 |
|
|
||||||||||||
截至12月31日(欧元,百万,股票和每股数据除外) | 注意事项 | 2021 | 2022 | |||||||||||
负债和股东权益 | ||||||||||||||
应付账款4
|
|
|
||||||||||||
应计负债和其他负债5
|
15 |
|
|
|||||||||||
目前的税务负债 | 21 |
|
|
|||||||||||
长期债务的流动部分 | 16 |
|
|
|||||||||||
合同负债 | 2 |
|
|
|||||||||||
流动负债合计 |
|
|
||||||||||||
长期负债 | 16 |
|
|
|||||||||||
递延所得税和其他所得税负债 | 21 |
|
|
|||||||||||
合同负债 | 2 |
|
|
|||||||||||
应计负债和其他负债 | 15 |
|
|
|||||||||||
非流动负债合计 |
|
|
||||||||||||
负债总额 |
|
|
||||||||||||
普通股;欧元
|
||||||||||||||
|
||||||||||||||
|
||||||||||||||
已发行和流通股 |
|
|
||||||||||||
股份溢价 |
|
|
||||||||||||
按成本计算的库存股 | (
|
(
|
||||||||||||
留存收益 |
|
|
||||||||||||
累计其他综合收益 |
|
|
||||||||||||
股东权益合计 | 22 |
|
|
|||||||||||
负债和股东权益共计 |
|
|
ASML2022年年度报告
|
合并财务报表继续
|
战略报告 | 治理 | 金融 |
219
|
|||||||||||||||
合并股东权益报表 |
注意事项 | 已发行和流通股 | 股票溢价 | 按成本计算的库存股 | 留存收益 |
OCI1
|
合计 | |||||||||||||||||||||||
(百万欧元) | 编号 | 金额 | |||||||||||||||||||||||||||
2020年1月1日余额 |
|
|
|
(
|
|
|
|
||||||||||||||||||||||
综合收入的组成部分: | |||||||||||||||||||||||||||||
净收入 | — | — | — | — |
|
— |
|
||||||||||||||||||||||
权益法投资在其他综合收益中所占比例 | — | — | — | — | — | (
|
(
|
||||||||||||||||||||||
外币折算收益(亏损) | — | — | — | — | — | (
|
(
|
||||||||||||||||||||||
金融工具收益(损失) | 25 | — | — | — | — | — | (
|
(
|
|||||||||||||||||||||
综合收益总额 | — | — | — | — |
|
(
|
|
||||||||||||||||||||||
购买库存股 | 22 | (
|
|
— | (
|
— | — | (
|
|||||||||||||||||||||
库存股的注销 | 22 | — | (
|
— |
|
(
|
— |
|
|||||||||||||||||||||
股份支付 | 20 | — | — |
|
— | — | — |
|
|||||||||||||||||||||
发行股票 | 20 |
|
|
(
|
|
(
|
— |
|
|||||||||||||||||||||
已付股息 | 22 | — | — | — | — | (
|
— | (
|
|||||||||||||||||||||
2020年12月31日余额 |
|
|
|
(
|
|
|
|
||||||||||||||||||||||
综合收入的组成部分: | |||||||||||||||||||||||||||||
净收入 | — | — | — | — |
|
— |
|
||||||||||||||||||||||
权益法投资在其他综合收益中所占比例 | — | — | — | — | — |
|
|
||||||||||||||||||||||
外币折算收益(亏损) | — | — | — | — | — |
|
|
||||||||||||||||||||||
金融工具收益(损失) | 25 | — | — | — | — | — |
|
|
|||||||||||||||||||||
综合收益总额 | — | — | — | — |
|
|
|
||||||||||||||||||||||
购买库存股 | 22 | (
|
— | — | (
|
— | — | (
|
|||||||||||||||||||||
库存股的注销 | 22 | — | (
|
— |
|
(
|
— |
|
|||||||||||||||||||||
股份支付 | 20 | — | — |
|
— | — | — |
|
|||||||||||||||||||||
发行股票 | 20 |
|
|
(
|
|
(
|
— |
|
|||||||||||||||||||||
已付股息 | 22 | — | — | — | — | (
|
— | (
|
|||||||||||||||||||||
2021年12月31日余额 |
|
|
|
(
|
|
|
|
ASML2022年年度报告
|
合并财务报表继续
|
战略报告 | 治理 | 金融 |
220
|
|||||||||||||||
股东权益综合报表(续) |
注意事项 | 已发行和流通股 | 股票溢价 | 按成本计算的库存股 | 留存收益 |
OCI1
|
合计 | |||||||||||||||||||||||
(百万欧元) | 编号 | 金额 | |||||||||||||||||||||||||||
2021年12月31日余额 |
|
|
|
(
|
|
|
|
||||||||||||||||||||||
综合收入的组成部分: | |||||||||||||||||||||||||||||
净收入 | — | — | — | — |
|
— |
|
||||||||||||||||||||||
权益法投资在其他综合收益中所占比例 | — | — | — | — | — |
|
|
||||||||||||||||||||||
外币折算收益(亏损) | — | — | — | — | — |
|
|
||||||||||||||||||||||
金融工具收益(损失) | 25 | — | — | — | — | — | (
|
(
|
|||||||||||||||||||||
综合收益总额 | — | — | — | — |
|
|
|
||||||||||||||||||||||
购买库存股 | 22 | (
|
— | — | (
|
— | — | (
|
|||||||||||||||||||||
库存股的注销 | 22 | — | (
|
— |
|
(
|
— |
|
|||||||||||||||||||||
股份支付 | 20 | — | — |
|
— | — | — |
|
|||||||||||||||||||||
发行股票 | 20 |
|
|
(
|
|
(
|
— |
|
|||||||||||||||||||||
已付股息 | 22 | — | — | — | — | (
|
— | (
|
|||||||||||||||||||||
截至2022年12月31日的余额 |
|
|
|
(
|
|
|
|
ASML2022年年度报告
|
合并财务报表继续
|
战略报告 | 治理 | 金融 |
221
|
|||||||||||||||
合并现金流量表 |
截至12月31日止年度(百万欧元) | 注意事项 | 2020 | 2021 | 2022 | |||||||||||||
经营活动产生的现金流量 |
|
||||||||||||||||
净收入 |
|
|
|
||||||||||||||
为调节净收入与经营活动产生的现金流量净额而作出的调整: | |||||||||||||||||
折旧及摊销1
|
12, 13, 14 |
|
|
|
|||||||||||||
处置减值和损失(收益) | 12, 13 |
|
(
|
|
|||||||||||||
股份补偿费用 | 18, 20 |
|
|
|
|||||||||||||
出售附属公司的收益 | 10 |
|
(
|
|
|||||||||||||
库存储备 | 7 |
|
|
|
|||||||||||||
递延所得税费用(收益) | 21 | (
|
(
|
(
|
|||||||||||||
权益法投资2
|
9 |
|
(
|
|
|||||||||||||
资产和负债变动 | |||||||||||||||||
应收账款净额 | 5 |
|
(
|
(
|
|||||||||||||
应收融资款项,净额 | 6 | (
|
|
|
|||||||||||||
库存 | 7 | (
|
(
|
(
|
|||||||||||||
其他资产 | 8 | (
|
(
|
(
|
|||||||||||||
应计负债和其他负债 | 15 |
|
|
|
|||||||||||||
应付账款 |
|
|
|
||||||||||||||
流动税收资产和负债 | 21 |
|
|
|
|||||||||||||
合同资产和负债 | 2 |
|
|
|
|||||||||||||
经营活动所产生的现金净额 |
|
|
|
||||||||||||||
投资活动产生的现金流量 | |||||||||||||||||
购置不动产、厂场和设备3
|
13 | (
|
(
|
(
|
|||||||||||||
购买无形资产 | 12 | (
|
(
|
(
|
|||||||||||||
购买短期投资 | 4 | (
|
(
|
(
|
|||||||||||||
短期投资到期 | 4 |
|
|
|
|||||||||||||
发放的贷款和其他投资 | 26 | (
|
(
|
(
|
|||||||||||||
出售子公司的收益(扣除处置的现金) | 10 |
|
|
|
|||||||||||||
收购子公司(扣除获得的现金) | 10 | (
|
|
|
|||||||||||||
投资活动所用现金净额 | (
|
(
|
(
|
||||||||||||||
截至12月31日止年度(百万欧元) | 注意事项 | 2020 | 2021 | 2022 | |||||||||||||
筹资活动产生的现金流量 | |||||||||||||||||
已付股息 | 22 | (
|
(
|
(
|
|||||||||||||
购买库存股 | 22 | (
|
(
|
(
|
|||||||||||||
发行股票所得款项净额 | 20 |
|
|
|
|||||||||||||
发行票据所得款项净额,扣除发行费用 | 16 |
|
|
|
|||||||||||||
偿还债务和融资租赁债务 | 14, 16 | (
|
(
|
(
|
|||||||||||||
筹资活动使用的现金净额 | (
|
(
|
(
|
||||||||||||||
现金流量净额 |
|
|
|
||||||||||||||
汇率变动对现金的影响 | (
|
|
(
|
||||||||||||||
现金和现金等价物净增加(减少)额 |
|
|
|
||||||||||||||
年初现金和现金等价物 | 4 |
|
|
|
|||||||||||||
年末现金及现金等价物 | 4 |
|
|
|
|||||||||||||
现金流量信息的补充披露: | |||||||||||||||||
不包括在投资活动中的不动产、厂场和设备的未付部分,列入应付账款 | (
|
|
|
||||||||||||||
收到的利息 |
|
|
|
||||||||||||||
已付利息 | (
|
(
|
(
|
||||||||||||||
已付所得税,扣除退款 | (
|
(
|
(
|
ASML2022年年度报告
|
合并财务报表附注 | 战略报告 | 治理 | 金融 |
222
|
|||||||||||||||
合并财务报表附注 |
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
223
|
|||||||||||||||
合并财务报表附注(续) |
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
224
|
|||||||||||||||
合并财务报表附注(续) |
货物或服务 | 履行义务的性质、履行义务的时间和重要的付款条件 | |||||||
新系统(既定技术) | 新系统的销售包括i-line、KrF、ArF、ArFi和EUV相关系统,以及与基地系统一起订购的相关工厂选项,以及计量和检测系统。 | |||||||
在装运之前,我们的大多数系统在我们的洁净室设施中进行工厂验收测试(FAT),有效地复制客户现场的操作条件,以验证系统是否符合其标准规格以及与客户商定的任何附加技术和性能标准。 | ||||||||
进行FAT的系统,只有在满足所有合同规格或放弃与约定规格的差异并收到客户签字后才能发货。在客户现场安装后,通过现场验收测试(SAT)重新测试每个系统的性能。我们从来没有不成功地在客户的场所完成一个系统的安装;因此,在FAT的接受被认为是证明对于具有在SAT成功接受客户接受的历史的既定技术(与FAT相同或更好)。 | ||||||||
进行FAT的系统的控制权转移以及与该系统有关的收入的确认将在系统交付时发生。 | ||||||||
未进行FAT的系统或我们工厂的某些测试被跳过(快速发货),此类系统的控制权转移和收入确认将在安装完成后客户在SAT接受该系统时发生。 | ||||||||
新系统的销售不符合长期收入确认的要求,因为我们的客户没有同时接受和消费我们的业绩所提供的利益,或在我们生产过程的任何阶段控制资产,而且这些系统被认为具有替代用途。 | ||||||||
使用过的系统 | 我们的一般销售条款和条件中没有回购承诺,但我们偶尔会回购我们以前制造和销售的系统,以便翻新和转售系统给其他客户。这一回购决定主要是由其他客户表达的市场需求驱动的。 | |||||||
转让旧系统的控制权和确认收入,遵循的逻辑与我们的“新系统(成熟技术)”相同。 |
货物或服务 | 履行义务的性质、履行义务的时间和重要的付款条件 | |||||||
外地升级和备选方案(系统增强) | 外地升级和备选方案主要涉及为客户工厂已安装的系统交付的货物和服务。某些升级需要大量的安装工作,增强客户控制的资产,因此导致在安装期间的控制权转移,使用使用工时估计的成本发生法计量,因为这最能说明我们履行了转移控制权的义务。对于客户在交付时获得和消费利益的期权和其他升级,控制权的转移和收入的确认将在交付时发生。 | |||||||
只要我们不能对完成升级所需的总努力作出可靠的估计,我们只确认收入以支付所产生的费用。保证金将在我们能够做出可靠估计或完成升级的较早时间实现。 | ||||||||
新产品介绍 | 我们销售新的产品和服务,这是我们现有技术的演变。如果确定安装不是一个单独的性能,或者如果没有足够的既定的接受FAT的历史,该产品被确定为“新产品介绍”。 | |||||||
新产品介绍通常是在我们的系统中使用的新开发的选项。新产品引进的控制权转移和收入确认发生在SAT成功安装和客户接受之后。一旦有成功安装和客户接受的既定历史,收入将在控制权转移后与其他系统和货物一致确认。 | ||||||||
安装 | 安装是在一个系统的销售价格范围内提供的。安装被认为是不同的,因为它不会显著地修改所购买的系统,并且如果需要,客户或第三方可以自己进行安装。控制权的转移发生在从通过SAT交付的安装期间,以直线为基础衡量,因为我们的业绩在这段时间内平均得到满足。如果在安装完成后客户在SAT接受系统时确认与系统有关的收入,则不认为安装是可区分的。 | |||||||
保证 |
我们为我们的系统提供标准的保修范围。
|
|||||||
我们系统上的延长保修和增强保修都作为一项单独的履约义务进行核算,控制权的转移是在保修期内发生的,以直线法计量,因为这是一项随时待命的义务。 |
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
225
|
|||||||||||||||
合并财务报表附注(续) |
货物或服务 | 履行义务的性质、履行义务的时间和重要的付款条件 | |||||||
基于时间的许可证和相关服务 | 基于时间的许可证涉及在一段时间内出售的软件许可证和相关服务。许可证和相关服务不被认为是个别不同的,因为支助服务是客户在迅速变化的技术环境中继续使用软件许可证的能力的组成部分。控制权的转移是在许可期限内进行的,以直线法衡量,因为我们的业绩在这段时间内平均得到满足。付款一般在整个许可期限内分期进行。 | |||||||
应用项目 | 应用项目是节点过渡和咨询项目,有时可作为批量采购协议中的免费服务提供。衡量这一履约义务的履行情况是通过一种输入法进行的,该输入法基于所花费的工时相对于估计的总工时,因为这最能说明这类服务控制权的转移。 | |||||||
服务合同 | 与我们的客户签订服务合同,以支持我们的系统在系统生命周期内用于其持续运营,通常形式为全面服务协议、有限人力协议、其他劳动协议、零部件供应或零部件使用协议。这些服务有一段特定的时间,通常有一个固定的价格。在这段时间内的控制转移,按直线计量,因为这些是随时待命的债务。对于价格不固定的服务合同,交易价格有一个基于系统性能的可变部分。 | |||||||
可结算零件和人工 | 可计费人工是指通过客户的采购订单,为安装在客户工厂中的系统提供维护服务。对这些服务的控制在收到客户签字后转移给客户。 | |||||||
可结算零件是指通过客户的采购订单,在客户的工厂中安装的与我们的系统有关的零件,包括光学部件。 | ||||||||
可计费部件可以是: | ||||||||
–作为直接备件出售,其控制权在交货时及时转移;或
|
||||||||
–作为维护服务的一部分出售,其中控制权转移在收到客户签字时指向时间点。
|
||||||||
外地项目(搬迁) | 外地项目主要是搬迁服务。衡量这一履约义务的满足情况是通过一种输入法进行的,该输入法基于所花费的劳动时数相对于估计的总劳动时数,因为这最能说明我们服务控制权的转移。 | |||||||
OnPulse维护 | OnPulse的维护服务是在规定的时间内提供我们的光源系统。付款由从每个光源系统计数的脉冲数量决定,这是可变的。发票是根据所统计的脉搏每月进行的。收入按照发票开具方式使用ASC 606-10-55-18中的实务变通办法确认。 |
截至12月31日止年度 | 净系统销售额 单位 |
净系统销售额 百万欧元 |
||||||
2022 | ||||||||
EUV |
|
|
||||||
ArFi |
|
|
||||||
Arf干 |
|
|
||||||
Krf |
|
|
||||||
工字线 |
|
|
||||||
计量与检验 |
|
|
||||||
合计 |
|
|
||||||
2021 | ||||||||
EUV |
|
|
||||||
ArFi |
|
|
||||||
Arf干 |
|
|
||||||
Krf |
|
|
||||||
工字线 |
|
|
||||||
计量与检验 |
|
|
||||||
合计 |
|
|
||||||
2020 | ||||||||
EUV |
|
|
||||||
ArFi |
|
|
||||||
Arf干 |
|
|
||||||
Krf |
|
|
||||||
工字线 |
|
|
||||||
计量与检验 |
|
|
||||||
合计 |
|
|
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
226
|
|||||||||||||||
合并财务报表附注(续) |
截至12月31日止年度 | 净系统销售额 单位 |
净系统销售额 百万欧元 |
||||||
2022 | ||||||||
逻辑 |
|
|
||||||
记忆 |
|
|
||||||
合计 |
|
|
||||||
2021 | ||||||||
逻辑 |
|
|
||||||
记忆 |
|
|
||||||
合计 |
|
|
||||||
2020 | ||||||||
逻辑 |
|
|
||||||
记忆 |
|
|
||||||
合计 |
|
|
截至12月31日止年度(百万欧元) | 2021 | 2022 | |||||||||||||||
合同资产 | 合同负债 | 合同资产 | 合同负债 | ||||||||||||||
年初余额 |
|
|
|
|
|||||||||||||
从合同资产转入应收款 | (
|
— | (
|
— | |||||||||||||
在合同资产年底确认的收入 |
|
— |
|
— | |||||||||||||
计入合同负债的已确认收入 | — | (
|
— | (
|
|||||||||||||
估计数变动引起的累计追赶量调整所致的变动 | — |
|
— | (
|
|||||||||||||
已收到对价的剩余履约义务,或我们有无条件权利要求对价的剩余履约义务 | — |
|
— |
|
|||||||||||||
合同资产与负债之间的转移 |
|
|
|
|
|||||||||||||
合计 |
|
|
|
|
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
227
|
|||||||||||||||
合并财务报表附注(续) |
截至12月31日止年度(百万欧元) | 2020 | 2021 | 2022 | |||||||||||
新系统 |
|
|
|
|||||||||||
使用过的系统 |
|
|
|
|||||||||||
净系统销售额 |
|
|
|
截至12月31日止年度(百万欧元) | 净销售总额 | 长期资产 | ||||||
2022 | ||||||||
日本 |
|
|
||||||
韩国 |
|
|
||||||
新加坡 |
|
|
||||||
台湾 |
|
|
||||||
中国 |
|
|
||||||
亚洲其他地区 |
|
|
||||||
荷兰 |
|
|
||||||
欧洲、中东和非洲 |
|
|
||||||
美国 |
|
|
||||||
合计 |
|
|
截至12月31日止年度(百万欧元) | 净销售总额 | 长期资产 | ||||||
2021 | ||||||||
日本 |
|
|
||||||
韩国 |
|
|
||||||
新加坡 |
|
|
||||||
台湾 |
|
|
||||||
中国 |
|
|
||||||
亚洲其他地区 |
|
|
||||||
荷兰 |
|
|
||||||
欧洲、中东和非洲 |
|
|
||||||
美国 |
|
|
||||||
合计 |
|
|
||||||
2020 | ||||||||
日本 |
|
|
||||||
韩国 |
|
|
||||||
新加坡 |
|
|
||||||
台湾 |
|
|
||||||
中国 |
|
|
||||||
亚洲其他地区 |
|
|
||||||
荷兰 |
|
|
||||||
欧洲、中东和非洲 |
|
|
||||||
美国 |
|
|
||||||
合计 |
|
|
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
228
|
|||||||||||||||
合并财务报表附注(续) |
截至12月31日止年度(百万欧元) | 2021 | 2022 | |||||||||
在金融机构、政府和政府有关机构的存款 |
|
|
|||||||||
对货币市场基金的投资 |
|
|
|||||||||
银行账户 |
|
|
|||||||||
现金及现金等价物 |
|
|
|||||||||
在金融机构、政府和政府有关机构的存款 |
|
|
|||||||||
短期投资 |
|
|
截至12月31日止年度(百万欧元) | 2021 | 2022 | |||||||||
应收账款,毛额 |
|
|
|||||||||
信贷损失备抵 | (
|
(
|
|||||||||
应收账款净额 |
|
|
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
229
|
|||||||||||||||
合并财务报表附注(续) |
截至12月31日止年度(百万欧元) | 2021 | 2022 | |||||||||
应收融资毛额 |
|
|
|||||||||
未得利息 | (
|
|
|||||||||
应收融资款项,净额 |
|
|
|||||||||
应收融资款项的流动部分,毛额 |
|
|
|||||||||
未实现利息的当期部分 | (
|
|
|||||||||
应收融资款项的非流动部分,净额 |
|
|
(百万欧元) | 金额 | ||||
2023 |
|
||||
2024 |
|
||||
2025 |
|
||||
2026 |
|
||||
2027 |
|
||||
此后 |
|
||||
应收融资毛额 |
|
截至12月31日止年度(百万欧元) | 2021 | 2022 | |||||||||
原材料 |
|
|
|||||||||
在制品 |
|
|
|||||||||
成品 |
|
|
|||||||||
存货,毛额 |
|
|
|||||||||
库存储备 | (
|
(
|
|||||||||
库存,净额 |
|
|
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
230
|
|||||||||||||||
合并财务报表附注(续) |
截至12月31日止年度(百万欧元) | 2021 | 2022 | |||||||||
年初余额 | (
|
(
|
|||||||||
年度新增 | (
|
(
|
|||||||||
汇率变动的影响 | (
|
(
|
|||||||||
储备金的使用 |
|
|
|||||||||
年末余额 | (
|
(
|
截至12月31日止年度(百万欧元) | 2021 | 2022 | |||||||||
预付Carl Zeiss SMT GmbH款项1
|
|
|
|||||||||
预付费用 |
|
|
|||||||||
衍生金融工具2
|
|
|
|||||||||
应收增值税 |
|
|
|||||||||
其他资产 |
|
|
|||||||||
其他流动资产 |
|
|
|||||||||
预付Carl Zeiss SMT GmbH款项1
|
|
|
|||||||||
预付费用 |
|
|
|||||||||
衍生金融工具2
|
|
|
|||||||||
补偿计划资产 |
|
|
|||||||||
非流动应收账款 |
|
|
|||||||||
其他资产 |
|
|
|||||||||
其他非流动资产 |
|
|
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
231
|
|||||||||||||||
合并财务报表附注(续) |
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
232
|
|||||||||||||||
合并财务报表附注(续) |
类别 | 估计使用寿命 | ||||
品牌 |
|
||||
知识产权 |
|
||||
发达技术 |
|
||||
客户关系 |
|
||||
其他 |
|
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
233
|
|||||||||||||||
合并财务报表附注(续) |
百万欧元 | 品牌 | 知识产权 | 发达技术 | 客户关系 | 其他 | 合计 | ||||||||||||||
费用 | ||||||||||||||||||||
2021年1月1日余额 |
|
|
|
|
|
|
||||||||||||||
增补 |
|
|
|
|
|
|
||||||||||||||
撤资 |
|
|
(
|
|
(
|
(
|
||||||||||||||
处置 |
|
|
|
|
(
|
(
|
||||||||||||||
汇率变动的影响 |
|
|
|
|
(
|
(
|
||||||||||||||
2021年12月31日余额 |
|
|
|
|
|
|
||||||||||||||
增补 |
|
|
|
|
|
|
||||||||||||||
处置 |
|
|
|
|
(
|
(
|
||||||||||||||
汇率变动的影响 |
|
|
|
|
|
|
||||||||||||||
截至2022年12月31日的余额 |
|
|
|
|
|
|
||||||||||||||
累计摊销 | ||||||||||||||||||||
2021年1月1日余额 |
|
|
|
|
|
|
||||||||||||||
摊销 |
|
|
|
|
|
|
||||||||||||||
撤资 |
|
|
(
|
|
(
|
(
|
||||||||||||||
处置 |
|
|
|
|
(
|
(
|
||||||||||||||
汇率变动的影响 |
|
|
|
|
(
|
(
|
||||||||||||||
2021年12月31日余额 |
|
|
|
|
|
|
||||||||||||||
摊销 |
|
|
|
|
|
|
||||||||||||||
减值费用 |
|
|
|
|
|
|
||||||||||||||
处置 |
|
|
|
|
(
|
(
|
||||||||||||||
汇率变动的影响 |
|
|
|
|
|
|
||||||||||||||
截至2022年12月31日的余额 |
|
|
|
|
|
|
||||||||||||||
账面金额 | ||||||||||||||||||||
2021年12月31日 |
|
|
|
|
|
|
||||||||||||||
2022年12月31日 |
|
|
|
|
|
|
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
234
|
|||||||||||||||
合并财务报表附注(续) |
截至12月31日止年度(百万欧元) | 2020 | 2021 | 2022 | |||||||||||
销售成本 |
|
|
|
|||||||||||
研发费用 |
|
|
|
|||||||||||
SG & A |
|
|
|
|||||||||||
摊销总额 |
|
|
|
百万欧元 | 金额 | ||||
2023 |
|
||||
2024 |
|
||||
2025 |
|
||||
2026 |
|
||||
2027 |
|
||||
此后 |
|
||||
合计 |
|
类别 | 估计使用寿命 | ||||
建筑物 |
|
||||
机械和设备 |
|
||||
租赁改进 |
|
||||
家具、固定装置和其他 |
|
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
235
|
|||||||||||||||
合并财务报表附注(续) |
百万欧元 | 土地和 建筑物 |
机械 和设备 |
租赁权 改进 |
家具、固定装置 和其他 |
合计 | ||||||||||||
费用 | |||||||||||||||||
2021年1月1日余额 |
|
|
|
|
|
||||||||||||
增补 |
|
|
|
|
|
||||||||||||
撤资 | (
|
(
|
|
(
|
(
|
||||||||||||
处置 | (
|
(
|
(
|
(
|
(
|
||||||||||||
流入/流出存货的非现金变动净额 |
|
|
|
|
|
||||||||||||
汇率变动的影响 |
|
|
|
|
|
||||||||||||
2021年12月31日余额 |
|
|
|
|
|
||||||||||||
增补 |
|
|
|
|
|
||||||||||||
处置 | (
|
(
|
(
|
(
|
(
|
||||||||||||
流入/流出存货的非现金变动净额 |
|
|
|
|
|
||||||||||||
汇率变动的影响 |
|
(
|
(
|
(
|
(
|
||||||||||||
截至2022年12月31日的余额 |
|
|
|
|
|
||||||||||||
累计折旧和减值 | |||||||||||||||||
2021年1月1日余额 |
|
|
|
|
|
||||||||||||
折旧 |
|
|
|
|
|
||||||||||||
减值费用 |
|
|
|
|
|
||||||||||||
撤资 | (
|
(
|
|
(
|
(
|
||||||||||||
处置 | (
|
(
|
(
|
(
|
(
|
||||||||||||
流入/流出存货的非现金变动净额 |
|
(
|
|
|
(
|
||||||||||||
汇率变动的影响 |
|
|
|
|
|
||||||||||||
2021年12月31日余额 |
|
|
|
|
|
||||||||||||
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
236
|
|||||||||||||||
合并财务报表附注(续) |
百万欧元 | 土地和 建筑物 |
机械 和设备 |
租赁权 改进 |
家具、固定装置 和其他 |
合计 | ||||||||||||
折旧 |
|
|
|
|
|
||||||||||||
减值费用 |
|
|
|
|
|
||||||||||||
处置 | (
|
(
|
(
|
(
|
(
|
||||||||||||
流入/流出存货的非现金变动净额 |
|
(
|
|
|
(
|
||||||||||||
汇率变动的影响 | (
|
(
|
(
|
(
|
(
|
||||||||||||
截至2022年12月31日的余额 |
|
|
|
|
|
||||||||||||
账面金额 | |||||||||||||||||
2021年12月31日 |
|
|
|
|
|
||||||||||||
2022年12月31日 |
|
|
|
|
|
截至12月31日止年度(百万欧元) | 2020 | 2021 | 2022 | |||||||||||
销售成本 |
|
|
|
|||||||||||
研发费用 |
|
|
|
|||||||||||
SG & A |
|
|
|
|||||||||||
折旧总额 |
|
|
|
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
237
|
|||||||||||||||
合并财务报表附注(续) |
截至12月31日止年度(百万欧元) | 2021 | 2022 | |||||||||
属性 |
|
|
|||||||||
汽车 |
|
|
|||||||||
设备 |
|
|
|||||||||
仓库 |
|
|
|||||||||
其他 |
|
|
|||||||||
使用权资产 |
|
|
截至12月31日止年度(百万欧元) | 2021 | 2022 | |||||||||
当前 |
|
|
|||||||||
非电流 |
|
|
|||||||||
租赁负债 |
|
|
截至12月31日止年度(百万欧元) | 2020 | 2021 | 2022 | |||||||||||
属性 |
|
|
|
|||||||||||
汽车 |
|
|
|
|||||||||||
设备 |
|
|
|
|||||||||||
仓库 |
|
|
|
|||||||||||
其他 |
|
|
|
|||||||||||
折旧费用使用权资产 |
|
|
|
截至12月31日止年度(百万欧元) | 2020 | 2021 | 2022 | |||||||||||
现金流量共计 |
|
|
|
截至12月31日止年度(百万欧元) | 2020 | 2021 | 2022 | |||||||||||
加权平均剩余租期(月) |
|
|
|
|||||||||||
加权平均贴现率(%) |
|
% |
|
% |
|
% |
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
238
|
|||||||||||||||
合并财务报表附注(续) |
截至12月31日止年度(百万欧元) | 2021 | 2022 | |||||||||
需支付的费用1
|
|
|
|||||||||
人事相关项目 |
|
|
|||||||||
衍生金融工具2
|
|
|
|||||||||
经营租赁负债3
|
|
|
|||||||||
规定 |
|
|
|||||||||
标准保修准备金 |
|
|
|||||||||
其他 |
|
|
|||||||||
应计负债和其他负债 |
|
|
|||||||||
减:应计负债和其他负债的非流动部分 |
|
|
|||||||||
应计负债和其他负债的流动部分 |
|
|
截至12月31日止年度(百万欧元) | 2021 | 2022 | |||||||||
年初余额 |
|
|
|||||||||
年度新增 |
|
|
|||||||||
储备金的使用 | (
|
(
|
|||||||||
汇率的影响 |
|
|
|||||||||
年末余额 |
|
|
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
239
|
|||||||||||||||
合并财务报表附注(续) |
截至12月31日止年度(百万欧元) | 2021 | 2022 | |||||||||
€
|
|
|
|||||||||
€
|
|
|
|||||||||
€
|
|
|
|||||||||
€
|
|
|
|||||||||
€
|
|
|
|||||||||
€
|
|
|
|||||||||
€
|
|
|
|||||||||
从Berliner Glas(ASML Berlin GmbH)收购的债务)
|
|
|
|||||||||
其他 |
|
|
|||||||||
长期负债 |
|
|
|||||||||
减:长期债务的当期部分 |
|
|
|||||||||
长期债务的非流动部分 |
|
|
百万欧元 | 金额 | ||||
2023 |
|
||||
2024 |
|
||||
2025 |
|
||||
2026 |
|
||||
2027 |
|
||||
此后 |
|
||||
债务到期总额 |
|
截至12月31日止年度(百万欧元) | 2021 | 2022 | |||||||||
摊余成本金额 |
|
|
|||||||||
公允价值利率互换1
|
|
(
|
|||||||||
账面金额 |
|
|
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
240
|
|||||||||||||||
合并财务报表附注(续) |
截至12月31日止年度(百万欧元) | 2021 | 2022 | |||||||||
本金 |
|
|
|||||||||
账面金额 |
|
|
|||||||||
公允价值1
|
|
|
按期间开列的应付款项(百万欧元) | 合计 | 1年 | 2年 | 3年 | 4年 | 5年 | > 5年 | ||||||||||||||||
长期债务,包括利息1
|
|
|
|
|
|
|
|
||||||||||||||||
租赁义务2
|
|
|
|
|
|
|
|
||||||||||||||||
采购义务 |
|
|
|
|
|
|
|
||||||||||||||||
合同义务共计 |
|
|
|
|
|
|
|
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
241
|
|||||||||||||||
合并财务报表附注(续) |
截至12月31日止年度(百万欧元) | 2020 | 2021 | 2022 | |||||||||||
工资和薪金 |
|
|
|
|||||||||||
社会保障费用 |
|
|
|
|||||||||||
养恤金和退休费用 |
|
|
|
|||||||||||
股份支付 |
|
|
|
|||||||||||
人事费 |
|
|
|
金融服务机构的平均发薪雇员人数 | 2020 | 2021 | 2022 | |||||||||||
荷兰 |
|
|
|
|||||||||||
全球(包括荷兰) |
|
|
|
截至12月31日的年度(以FTE计) | 2020 | 2021 | 2022 | |||||||||||
客户支持 |
|
|
|
|||||||||||
制造和供应链管理 |
|
|
|
|||||||||||
战略供应管理 |
|
|
|
|||||||||||
一般和行政 |
|
|
|
|||||||||||
销售及成熟产品及服务 |
|
|
|
|||||||||||
研究与开发 |
|
|
|
|||||||||||
合计 |
|
|
|
|||||||||||
减:临时雇员 |
|
|
|
|||||||||||
工资雇员 |
|
|
|
截至12月31日止年度(百万欧元) | 2020 | 2021 | 2022 | |||||||||||
管理委员会 |
|
|
|
|||||||||||
前管理委员会 |
|
|
|
|||||||||||
其他雇员 |
|
|
|
|||||||||||
STI奖金支出共计 |
|
|
|
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
242
|
|||||||||||||||
合并财务报表附注(续) |
截至12月31日止年度(百万欧元) | 2020 | 2021 | 2022 | |||||||||||
基于多雇主工会计划的养恤金计划 |
|
|
|
|||||||||||
基于固定缴款和其他计划的养恤金计划 |
|
|
|
|||||||||||
养恤金和退休费用 |
|
|
|
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
243
|
|||||||||||||||
合并财务报表附注(续) |
长期投资业绩计划标准 | 市场/非市场要素 | 重量 | ||||||
相对TSR | 市场 |
|
||||||
现金兑换率%(3年平均数) | 非市场 |
|
||||||
技术领先指数 | 非市场 |
|
||||||
ESG措施 | 非市场 |
|
||||||
合计 |
|
截至12月31日止年度 | 2020 | 2021 | 2022 | |||||||||||
授予日的股价(欧元) |
|
|
|
|||||||||||
ASML预期波动率 |
|
% |
|
% |
|
% | ||||||||
预期波动率PHLX指数 |
|
% |
|
% | 不适用 | |||||||||
同行群体的平均波动性(市场惯例) | 不适用 | 不适用 |
|
% | ||||||||||
归属期 |
|
|
|
|||||||||||
股息收益率 |
|
% |
|
% |
|
% | ||||||||
无风险利率(欧元区) | (
|
% | (
|
% |
|
% | ||||||||
无风险利率(美国) |
|
% |
|
% |
|
% |
截至12月31日止年度(百万欧元) | 2020 | 2021 | 2022 | |||||||||||
已发生费用共计 |
|
|
|
|||||||||||
确认的所得税优惠(不包括超额所得税优惠) |
|
|
|
|||||||||||
未来期间预计支出总额 |
|
|
|
|||||||||||
确认这些预期费用的加权平均期间 |
|
|
|
欧元计价 | 美元计价 | |||||||||||||||||||||||||
截至12月31日止年度 | 2020 | 2021 | 2022 | 2020 | 2021 | 2022 | ||||||||||||||||||||
年内归属股份在归属日的公允价值总额(百万) |
|
|
|
|
|
|
||||||||||||||||||||
授予股份的加权平均公允价值 |
|
|
|
|
|
|
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
244
|
|||||||||||||||
合并财务报表附注(续) |
欧元计价 | 美元计价 | |||||||||||||
编号 股份 |
加权 平均 公允价值 授予日期 |
编号 股份 |
加权 平均 公允价值 授予日期 |
|||||||||||
截至2022年1月1日已发行在外的有条件股份 |
|
|
|
|
||||||||||
已获批 |
|
|
|
|
||||||||||
既得 | (
|
|
(
|
|
||||||||||
没收 | (
|
|
(
|
|
||||||||||
截至2022年12月31日已发行在外的有条件股份 |
|
|
|
|
欧元计价 | 美元计价 | |||||||||||||||||||||||||
截至12月31日止年度 | 2020 | 2021 | 2022 | 2020 | 2021 | 2022 | ||||||||||||||||||||
股票期权行权日的加权平均股价 |
|
|
|
|
|
|
||||||||||||||||||||
行使股票期权的总内在价值(百万) |
|
|
|
|
|
|
||||||||||||||||||||
当前可行使期权的加权平均剩余合同期限(年) |
|
|
|
|
|
|
||||||||||||||||||||
可行使股票期权的总内在价值(百万) |
|
|
|
|
|
|
||||||||||||||||||||
已发行股票期权的总内在价值(百万) |
|
|
|
|
|
|
欧元计价 | 美元计价 | |||||||||||||
编号 选择 |
加权 平均 行权价 每普通 份额(欧元) |
编号 选择 |
加权 平均 行权价 每普通 (USD) |
|||||||||||
2022年1月1日 |
|
|
|
|
||||||||||
已获批1
|
|
|
|
|
||||||||||
已行使 | (
|
|
(
|
|
||||||||||
没收 |
|
|
|
|
||||||||||
过期 | (
|
|
|
|
||||||||||
2022年12月31日 |
|
|
|
|
||||||||||
2022年12月31日 |
|
|
|
|
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
245
|
|||||||||||||||
合并财务报表附注(续) |
欧元计价 | 美元计价 | ||||||||||||||||
行使价格范围(欧元)
|
未完成的期权数目 | 加权平均剩余合同未偿期(年) | 行权价格范围(USD) | 未完成的期权数目 | 加权平均剩余合同未偿期(年) | ||||||||||||
|
|
|
|
|
|
||||||||||||
|
|
|
|
|
|
||||||||||||
|
|
|
|
|
|
||||||||||||
|
|
|
|
|
|
||||||||||||
|
|
|
|
|
|
||||||||||||
|
|
|
|
|
|
||||||||||||
合计 |
|
|
合计 |
|
|
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
246
|
|||||||||||||||
合并财务报表附注(续) |
截至12月31日止年度(百万欧元) | 2020 | 2021 | 2022 | |||||||||||
荷兰 |
|
|
|
|||||||||||
外国 |
|
|
|
|||||||||||
所得税前收入 |
|
|
|
|||||||||||
所得税费用 | (
|
(
|
(
|
|||||||||||
递延所得税费用 |
|
(
|
(
|
|||||||||||
所得税费用荷兰 | (
|
(
|
(
|
|||||||||||
所得税费用 | (
|
(
|
(
|
|||||||||||
递延所得税费用 |
|
|
|
|||||||||||
所得税费用国外 | (
|
(
|
(
|
|||||||||||
所得税费用总额 | (
|
(
|
(
|
|||||||||||
递延所得税费用总额 |
|
|
|
|||||||||||
所得税费用总额 | (
|
(
|
(
|
截至12月31日止年度(百万欧元) | 2020 | 2021 | 2022 | |||||||||||
本年度税费 | (
|
(
|
(
|
|||||||||||
上一年税务开支 | (
|
(
|
(
|
|||||||||||
当期税费总额 | (
|
(
|
(
|
截至12月31日止年度(百万欧元) | 2020 | 2021 | 2022 | |||||||||||
业务损失和税收抵免的确认变动 | (
|
(
|
(
|
|||||||||||
上一年税务开支 |
|
(
|
|
|||||||||||
税率变化 |
|
|
(
|
|||||||||||
暂时性差异、经营亏损和税收抵免的产生和转回 |
|
|
|
|||||||||||
递延所得税费用共计 |
|
|
|
截至12月31日止年度(百万欧元) | 2020 |
%1
|
2021 |
%1
|
2022 |
%1
|
|||||||||||||||||
所得税前收入 |
|
|
% |
|
|
% |
|
|
% | ||||||||||||||
基于ASML国内税率的所得税费用 | (
|
|
% | (
|
|
% | (
|
|
% | ||||||||||||||
外国法域税率的影响 |
|
|
% | (
|
|
% |
|
(
|
% | ||||||||||||||
免税收入的调整 |
|
|
% |
|
|
% |
|
|
% | ||||||||||||||
税收优惠方面的调整 |
|
(
|
% |
|
(
|
% |
|
(
|
% | ||||||||||||||
以往年度当期税额的调整 | (
|
|
% | (
|
|
% | (
|
|
% | ||||||||||||||
以往年度递延税款的调整 |
|
(
|
% | (
|
|
% |
|
(
|
% | ||||||||||||||
未确认税收优惠负债的变动 | (
|
|
% | (
|
|
% | (
|
|
% | ||||||||||||||
购置/重组相关项目的税务影响 |
|
|
% |
|
(
|
% |
|
|
% | ||||||||||||||
估值备抵变动 | (
|
|
% | (
|
|
% | (
|
|
% | ||||||||||||||
权益法投资 | (
|
|
% | (
|
|
% | (
|
|
% | ||||||||||||||
税率变动的影响 |
|
(
|
% |
|
|
% | (
|
|
% | ||||||||||||||
其他(贷项)和非扣税项目 |
|
(
|
% |
|
(
|
% |
|
(
|
% | ||||||||||||||
所得税费用 | (
|
|
% | (
|
|
% | (
|
|
% |
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
247
|
|||||||||||||||
合并财务报表附注(续) |
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
248
|
|||||||||||||||
合并财务报表附注(续) |
截至12月31日止年度(百万欧元) | 2020 | 2021 | 2022 | |||||||||||
未确认的税收优惠的赔偿责任 | (
|
(
|
(
|
|||||||||||
递延所得税资产 |
|
|
|
|||||||||||
递延所得税负债 | (
|
(
|
(
|
|||||||||||
递延和其他税收资产(负债) |
|
|
|
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
249
|
|||||||||||||||
合并财务报表附注(续) |
截至12月31日止年度(百万欧元) | 2020 | 2021 | 2022 | |||||||||||
截至1月1日的余额 | (
|
(
|
(
|
|||||||||||
不同税务管辖区的毛额列报 | (
|
|
|
|||||||||||
毛额增加–上一期间的税务状况 | (
|
(
|
(
|
|||||||||||
毛额减少–上一期间的税务状况 |
|
|
|
|||||||||||
毛额增加–本期税务状况 | (
|
(
|
(
|
|||||||||||
定居点 |
|
|
|
|||||||||||
诉讼时效的失效 |
|
|
|
|||||||||||
汇率变动的影响 |
|
(
|
(
|
|||||||||||
未确认税收优惠的负债总额 | (
|
(
|
(
|
|||||||||||
应计利息和罚款余额 | (
|
(
|
(
|
|||||||||||
包括利息和罚款在内的未确认税收优惠的负债总额 | (
|
(
|
(
|
国家 | 年份 | ||||
荷兰 | 2019-2022 | ||||
美国 | 2017-2022 | ||||
台湾 | 2017-2022 | ||||
韩国 | 2019-2022 | ||||
中国 | 2012-2022 |
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
250
|
|||||||||||||||
合并财务报表附注(续) |
递延税款(百万欧元) | 2022年1月1日 | 贷项和其他 | 合并 声明 的 业务 |
在其他综合收益中确认的所得税 | 效果 变化 作为交换 费率 |
2022年12月31日 | |||||||||||||||||
递延所得税资产: | |||||||||||||||||||||||
资本化研发支出 |
|
— |
|
— |
|
|
|||||||||||||||||
研发和其他税收抵免结转 |
|
|
|
— |
|
|
|||||||||||||||||
库存 |
|
— |
|
— |
|
|
|||||||||||||||||
合同负债 |
|
— |
|
— | (
|
|
|||||||||||||||||
应计负债和其他负债 |
|
— |
|
— |
|
|
|||||||||||||||||
标准保修准备金 |
|
— | (
|
— |
|
|
|||||||||||||||||
经营亏损结转 |
|
— | (
|
— | (
|
|
|||||||||||||||||
不动产、厂场和设备 |
|
— |
|
— | (
|
|
|||||||||||||||||
租赁负债 |
|
— |
|
— |
|
|
|||||||||||||||||
其他无形资产 |
|
— | (
|
— | — |
|
|||||||||||||||||
股份支付 |
|
— |
|
— |
|
|
|||||||||||||||||
其他暂时性差异 |
|
— |
|
(
|
(
|
|
|||||||||||||||||
递延所得税资产总额,毛额 |
|
|
|
(
|
|
|
|||||||||||||||||
估价津贴1
|
(
|
— | (
|
— | (
|
(
|
|||||||||||||||||
递延所得税资产总额,净额 |
|
|
|
(
|
|
|
|||||||||||||||||
递延所得税负债: | |||||||||||||||||||||||
其他无形资产 | (
|
— |
|
— | (
|
(
|
|||||||||||||||||
商誉 | (
|
— | (
|
— | — | (
|
|||||||||||||||||
使用权资产 | (
|
— | (
|
— | (
|
(
|
|||||||||||||||||
不动产、厂场和设备 | (
|
— |
|
— | (
|
(
|
|||||||||||||||||
合同负债 | (
|
— | (
|
— | — | (
|
|||||||||||||||||
长期负债 | (
|
— |
|
— | — | (
|
|||||||||||||||||
其他暂时性差异 | (
|
— | (
|
(
|
|
(
|
|||||||||||||||||
递延所得税负债总额 | (
|
|
(
|
(
|
(
|
(
|
|||||||||||||||||
递延所得税资产净额(负债) |
|
|
|
(
|
|
|
|||||||||||||||||
分类为: | |||||||||||||||||||||||
递延所得税资产–非流动 |
|
|
|||||||||||||||||||||
递延税项负债–非流动 | (
|
(
|
|||||||||||||||||||||
递延所得税资产净额(负债) |
|
|
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
251
|
|||||||||||||||
合并财务报表附注(续) |
递延税款(百万欧元) | 2021年1月1日 | 贷项和其他 | 合并 声明 的 业务 |
在其他综合收益中确认的所得税 | 效果 变化 作为交换 费率 |
2021年12月31日 | |||||||||||||||||
递延所得税资产: | |||||||||||||||||||||||
资本化研发支出 |
|
— |
|
— |
|
|
|||||||||||||||||
研发和其他税收抵免结转 |
|
|
|
— |
|
|
|||||||||||||||||
库存 |
|
— | (
|
— |
|
|
|||||||||||||||||
合同负债 |
|
— |
|
— |
|
|
|||||||||||||||||
应计负债和其他负债 |
|
— |
|
— |
|
|
|||||||||||||||||
标准保修准备金 |
|
— | (
|
— |
|
|
|||||||||||||||||
经营亏损结转 |
|
— | (
|
— |
|
|
|||||||||||||||||
不动产、厂场和设备 |
|
— | (
|
— |
|
|
|||||||||||||||||
租赁负债 |
|
— |
|
— |
|
|
|||||||||||||||||
其他无形资产 |
|
— | — | — | — |
|
|||||||||||||||||
股份支付 |
|
— |
|
— |
|
|
|||||||||||||||||
其他暂时性差异 |
|
— |
|
(
|
(
|
|
|||||||||||||||||
递延所得税资产总额,毛额 |
|
|
|
(
|
|
|
|||||||||||||||||
估价津贴1
|
(
|
— | (
|
— | (
|
(
|
|||||||||||||||||
递延所得税资产总额,净额 |
|
|
|
(
|
|
|
|||||||||||||||||
递延所得税负债: | |||||||||||||||||||||||
其他无形资产 | (
|
|
|
— | (
|
(
|
|||||||||||||||||
商誉 | (
|
— | (
|
— | — | (
|
|||||||||||||||||
使用权资产 | (
|
— | (
|
— | (
|
(
|
|||||||||||||||||
不动产、厂场和设备 | (
|
— | (
|
— | (
|
(
|
|||||||||||||||||
合同负债 | (
|
— |
|
— | — | (
|
|||||||||||||||||
长期负债 | (
|
— |
|
— | — | (
|
|||||||||||||||||
其他暂时性差异 | (
|
|
|
— |
|
(
|
|||||||||||||||||
递延所得税负债总额 | (
|
|
|
— | (
|
(
|
|||||||||||||||||
递延所得税资产净额(负债) |
|
|
|
(
|
|
|
|||||||||||||||||
分类为: | |||||||||||||||||||||||
递延所得税资产–非流动 |
|
|
|||||||||||||||||||||
递延税项负债–非流动 | (
|
(
|
|||||||||||||||||||||
递延所得税资产净额(负债) |
|
|
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
252
|
|||||||||||||||
合并财务报表附注(续) |
股份类型 | 股份数 | 名义价值 | 每股票数 | ||||||||
累计优先股
|
|
€
|
|
||||||||
普通股
|
|
€
|
|
截至12月31日止年度 | 2020 | 2021 | 2022 | |||||||||||
发行面值为欧元的普通股
|
|
|
|
|||||||||||
发行面值为欧元的普通库存股
|
|
|
|
|||||||||||
面值为欧元的已发行普通股总数
|
|
|
|
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
253
|
|||||||||||||||
合并财务报表附注(续) |
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
254
|
|||||||||||||||
合并财务报表附注(续) |
期间 | 购买的股票总数 |
每股支付的平均价格(欧元)
|
根据方案购买的股票总数 |
可能尚未购买的股份的最高价值
(百万欧元)
|
||||||||||
2022年1月3日至31日 |
|
|
|
|
||||||||||
2022年2月1日至28日 |
|
|
|
|
||||||||||
2022年3月1日至31日 |
|
|
|
|
||||||||||
2022年4月1日至30日 |
|
|
|
|
||||||||||
2022年5月1日至31日 |
|
|
|
|
||||||||||
2022年6月1日至30日 |
|
|
|
|
||||||||||
2022年7月1日至31日 |
|
|
|
|
||||||||||
2022年8月1日至31日 |
|
|
|
|
||||||||||
2022年9月1日至30日 |
|
|
|
|
||||||||||
2022年10月1日至31日 |
|
|
|
|
||||||||||
2022年11月1日至30日 |
|
|
|
|
||||||||||
2022年12月1日至23日 |
|
|
|
|
||||||||||
合计 |
|
|
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
255
|
|||||||||||||||
合并财务报表附注(续) |
截至12月31日止年度(百万欧元,每股数据除外) | 2020 | 2021 | 2022 | |||||||||||
净收入 |
|
|
|
|||||||||||
加权平均数 |
|
|
|
|||||||||||
每股普通股基本净收入 |
|
|
|
|||||||||||
加权平均数 |
|
|
|
|||||||||||
加上适用于期权和有条件股份的股份 |
|
|
|
|||||||||||
稀释加权平均股数 |
|
|
|
|||||||||||
每股普通股摊薄净收益 |
|
|
|
截至12月31日止年度(百万欧元) | 2021 | 2022 | |||||||||||||||
对净收入的影响 | 对 股权 |
对净收入的影响 | 对 股权 |
||||||||||||||
美元 | (
|
|
(
|
|
|||||||||||||
日元 | (
|
(
|
(
|
(
|
|||||||||||||
台币 | (
|
|
(
|
|
|||||||||||||
其他货币 |
|
|
(
|
|
|||||||||||||
合计 | (
|
|
(
|
|
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
256
|
|||||||||||||||
合并财务报表附注(续) |
截至12月31日止年度(百万欧元) | 2021 | 2022 | |||||||||||||||
对净收入的影响 | 对 股权 |
对净收入的影响 | 对 股权 |
||||||||||||||
a的影响
|
|
|
|
|
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
257
|
|||||||||||||||
合并财务报表附注(续) |
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
258
|
|||||||||||||||
合并财务报表附注(续) |
截至12月31日止年度(百万欧元) | 2021 | 2022 | |||||||||||||||
概念 金额 |
公允价值 | 概念 金额 |
公允价值 | ||||||||||||||
远期外汇合约 |
|
|
|
(
|
|||||||||||||
利率互换 |
|
|
|
(
|
截至12月31日止年度(百万欧元) | 2021 | 2022 | |||||||||||||||
物业、厂房及设备 | 负债 | 物业、厂房及设备 | 负债 | ||||||||||||||
利率互换——公允价值套期保值 |
|
|
|
|
|||||||||||||
远期外汇合约——现金流量套期 |
|
|
|
|
|||||||||||||
远期外汇合约——无套期会计 |
|
|
|
|
|||||||||||||
合计 |
|
|
|
|
|||||||||||||
减去非流动部分: | |||||||||||||||||
利率互换——公允价值套期保值 |
|
|
|
|
|||||||||||||
非流动部分共计 |
|
|
|
|
|||||||||||||
当前部分共计 |
|
|
|
|
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
259
|
|||||||||||||||
合并财务报表附注(续) |
截至2022年12月31日止年度(百万欧元) | 1级 | 2级 | 3级 | 合计 | |||||||||||||
以公允价值计量的资产 | |||||||||||||||||
衍生金融工具1
|
|
|
|
|
|||||||||||||
货币市场基金2
|
|
|
|
|
|||||||||||||
短期投资3
|
|
|
|
|
|||||||||||||
合计 |
|
|
|
|
|||||||||||||
以公允价值计量的负债 | |||||||||||||||||
衍生金融工具1
|
|
261.2 |
|
|
|||||||||||||
披露公允价值的资产和负债 | |||||||||||||||||
应收贷款 |
|
|
|
|
|||||||||||||
长期负债4
|
|
|
|
|
截至2021年12月31日止年度(百万欧元) | 1级 | 2级 | 3级 | 合计 | |||||||||||||
以公允价值计量的资产 | |||||||||||||||||
衍生金融工具1
|
|
|
|
|
|||||||||||||
货币市场基金2
|
|
|
|
|
|||||||||||||
短期投资3
|
|
|
|
|
|||||||||||||
合计 |
|
|
|
|
|||||||||||||
以公允价值计量的负债 | |||||||||||||||||
衍生金融工具1
|
|
|
|
|
|||||||||||||
披露公允价值的资产和负债 | |||||||||||||||||
应收贷款 |
|
|
|
|
|||||||||||||
长期负债4
|
|
|
|
|
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
260
|
|||||||||||||||
合并财务报表附注(续) |
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
261
|
|||||||||||||||
合并财务报表附注(续) |
截至12月31日止年度(百万欧元) | 2021 | 2022 | 最大损失风险 | |||||||||||
其他资产中包括的预付款 |
|
|
|
|||||||||||
预付款项列入不动产、厂场和设备 |
|
|
|
|||||||||||
应收贷款 |
|
|
|
|||||||||||
投资协议
|
|
|
|
|||||||||||
应付账款 |
|
|
|
|||||||||||
应支付费用列入应计负债和其他负债 |
|
|
|
|||||||||||
截至12月31日止年度(百万欧元) | 2020 | 2021 | 2022 | |||||||||||
采购总额 |
|
|
|
ASML2022年年度报告
|
合并财务报表附注继续
|
战略报告 | 治理 | 金融 |
262
|
|||||||||||||||
合并财务报表附注(续) |
ASML2022年年度报告
|
战略报告 | 治理 | 金融 |
263
|
ASML2022年年度报告
|
非财务报表 | 战略报告 | 治理 | 金融 |
264
|
|||||||||||||||
独立审计员的鉴证报告 |
ASML2022年年度报告
|
非财务报表继续
|
战略报告 | 治理 | 金融 |
265
|
|||||||||||||||
独立审计员的鉴证报告(续) |
ASML2022年年度报告
|
非财务报表继续
|
战略报告 | 治理 | 金融 |
266
|
|||||||||||||||
关于非财务信息 |
ASML2022年年度报告
|
非财务报表继续
|
战略报告 | 治理 | 金融 |
267
|
|||||||||||||||
关于非财务信息(续) |
ASML2022年年度报告
|
非财务报表继续
|
战略报告 | 治理 | 金融 |
268
|
|||||||||||||||
关于非财务信息(续) |
ASML2022年年度报告
|
非财务报表继续
|
战略报告 | 治理 | 金融 |
269
|
|||||||||||||||
关于非财务信息(续) |
ASML2022年年度报告
|
非财务报表继续
|
战略报告 | 治理 | 金融 |
270
|
|||||||||||||||
关于非财务信息(续) |
(分)章年度报告 | 范围 | ||||||||||
我们公司 | |||||||||||
我们如何创新 | ASML全球 | ||||||||||
客户亲密度 | ASML全球范围内,不包括Cymer和Berliner Glas(ASML Berlin GmbH) 注:仅限Techinsights ASML |
||||||||||
财务业绩 | |||||||||||
财务业绩指标 | ASML全球 | ||||||||||
能源效率和气候行动 | |||||||||||
能源管理和碳足迹(范围1和2) | ASML办公地点在250英尺以上,不包括Berliner Glas(ASML Berlin GmbH) | ||||||||||
能源管理和碳足迹(范围3) | 全球ASML:第8、9、10、13、14和15类除外 | ||||||||||
能源管理和碳足迹:我们客户的产品使用情况 | 达到一定成熟度并经过测量的ASML产品 | ||||||||||
循环经济 | |||||||||||
减少我们业务中的浪费 | ASML办公地点在250英尺以上,不包括Berliner Glas(ASML Berlin GmbH) | ||||||||||
再利用部件和材料 | ASML全球物资流动 注:重复使用率和重复使用部件的节余不包括包装 |
||||||||||
翻新成熟产品 | ASML产品,不包括YieldStar和SBI/MBI计量工具。 | ||||||||||
水管理 | ASML任何超过250英尺工时的地方,不包括柏林玻璃(ASML Berlin GmbH)–不包括仅限Veldhoven(荷兰)、Linkou(台湾)及Hmi Tailan(台湾)的Total Ultra-pure Water Consumption及Total Water Recycled and Repuse。 | ||||||||||
对所有人都有吸引力的工作场所 | |||||||||||
激发统一文化 | ASML全球范围内,不包括Berliner Glas(ASML Berlin GmbH) | ||||||||||
最佳员工体验 | ASML全球范围内,不包括Berliner Glas(ASML Berlin GmbH) 注:指标范围由内部候选人填补的空缺职位(%)仅包括已产生正式空缺的空缺职位 |
||||||||||
促成强有力的领导 | ASML全球范围内,不包括Berliner Glas(ASML Berlin GmbH) |
ASML2022年年度报告
|
非财务报表继续
|
战略报告 | 治理 | 金融 |
271
|
|||||||||||||||
关于非财务信息(续) |
确保员工安全 | ASML全球范围内,不包括Berliner Glas(ASML Berlin GmbH) | ||||||||||
我们社区的重要合作伙伴 | |||||||||||
社区参与方案 | ASML全球范围内,不包括Berliner Glas(ASML Berlin GmbH) 注:志愿服务时间技术推广和校园推广ASML仅限荷兰 社区参与志愿服务时数:不包括HMI |
||||||||||
ASML基金会 | ASML全球范围内,不包括Berliner Glas(ASML Berlin GmbH) | ||||||||||
创新生态系统 | |||||||||||
公私伙伴关系 | ASML全球 | ||||||||||
与学术界和研究机构的伙伴关系 | ASML全球 | ||||||||||
支持初创企业和扩大规模 | ASML荷兰 | ||||||||||
我们的供应链 | |||||||||||
供应链 | ASML全球范围内,不包括Berliner Glas(ASML Berlin GmbH) | ||||||||||
供应商业绩管理 | ASML全球范围内,不包括Berliner Glas(ASML Berlin GmbH) | ||||||||||
供应链风险管理 | ASML全球范围内,不包括Berliner Glas(ASML Berlin GmbH) | ||||||||||
负责任的供应链 | ASML全球范围内,不包括Cymer、HMI和Berliner Glas(ASML Berlin GmbH) | ||||||||||
负责任的企业 | |||||||||||
商业道德和行为守则 | ASML全球范围内,不包括Berliner Glas(ASML Berlin GmbH) | ||||||||||
产品安全 | ASML全球范围内,不包括HMI | ||||||||||
休息 | ASML全球 | ||||||||||
ASML2022年年度报告
|
非财务指标 | 战略报告 | 治理 | 金融 |
272
|
|||||||||||||||
非财务指标 |
客户亲密度 | ||||||||||||||||||||
说明 | 2020 | 2021 | 2022 | 评论 | ||||||||||||||||
整体忠诚度得分(客户反馈调查) | 72.6 | % | 不适用 | 78.3 | % | 该调查每24个月进行一次(上一次调查是在2022年9月进行的)。 截至2022年,该评分显示了ASML、Brion和HMI调查的综合加权结果。 |
||||||||||||||
TechInsights | ||||||||||||||||||||
大型芯片制造设备供应商----评分(0至10级) | 9.3 | 9.2 | 9.4 | |||||||||||||||||
晶圆厂设备供应商-评分(0至10) | 9.3 | 9.2 | 9.4 | |||||||||||||||||
光刻设备技术领导----得分(0至10) | 9.7 | 9.5 | 9.8 |
ASML2022年年度报告
|
非财务指标继续
|
战略报告 | 治理 | 金融 |
273
|
|||||||||||||||
非财务指标(续) |
能源效率和气候行动–能源 | ||||||||||||||||||||
说明 | 2020 | 2021 | 2022 | 评论 | ||||||||||||||||
能源消耗(以TJ计) | 1,412 | 1,689 | 1,633 | |||||||||||||||||
世界各地通过项目节省的能源(以TJ为单位) | 114 | 13 | 19 | 2021年,我们启动了新的2021-2025年总体规划期,目标是到2025年底实现100 TJ的节能。节余是通过改进技术安装的项目或改进生产工艺的项目实现的。节余中包括的能源类型:燃料和电力。 2020年的数字与2016-2020年的总体规划有关。报告的节余是与基准年相比累积的;因此,它们不具有可比性。 |
||||||||||||||||
能源强度(每百万欧元收入) | 不适用 | 不适用 | 0.08 | 分母是收入,分子代表组织内的总能源消耗,由总电力消耗(以TJ为单位)和化石燃料(天然气(消耗)(以TJ为单位)组成。 | ||||||||||||||||
本组织以外的能源消耗(以TJ为单位) | 不适用 | 不适用 | 93,962 | |||||||||||||||||
每个地点购买的电力(以TJ为单位) | ||||||||||||||||||||
维尔德霍芬 | 802 | 881 | 837 | |||||||||||||||||
威尔顿 | 114 | 120 | 130 | |||||||||||||||||
林口 | 35 | 34 | 34 | |||||||||||||||||
圣地亚哥 | 167 | 176 | 188 | |||||||||||||||||
圣何塞 | — | 28 | 25 | 自2021年起适用该指标。 | ||||||||||||||||
台南 | — | 36 | 43 | 自2021年起适用该指标。 | ||||||||||||||||
其他 | — | 47 | 50 | 自2021年起适用该指标。其他包括总共有250多个FTE的地点。 | ||||||||||||||||
合计 | 1,118 | 1,322 | 1,307 | |||||||||||||||||
从不可再生来源消耗的化石燃料(以泰焦为单位)1
|
消耗的化石燃料仅由天然气组成。 | |||||||||||||||||||
维尔德霍芬 | 141 | 184 | 149 | |||||||||||||||||
威尔顿 | 112 | 127 | 121 | |||||||||||||||||
林口 | — | — | — | 这个生产地点不使用天然气。 | ||||||||||||||||
圣地亚哥 | 40 | 43 | 43 | |||||||||||||||||
圣何塞 | — | 5 | 6 | 自2021年起适用该指标。 | ||||||||||||||||
台南 | — | — | — |
自2021年起适用该指标。这个生产地点不使用天然气。
|
||||||||||||||||
其他 | — | 8 | 7 | 自2021年起适用该指标。其他包括总共有250多个FTE的地点。 | ||||||||||||||||
合计 | 293 | 367 | 326 | |||||||||||||||||
可再生能源消耗的燃料(以太焦为单位) | — | — | — | |||||||||||||||||
ASML2022年年度报告
|
非财务指标继续
|
战略报告 | 治理 | 金融 |
274
|
|||||||||||||||
非财务指标(续) |
能源效率和气候行动–公司2e排放量
|
||||||||||||||||||||
说明 | 2020 | 2021 | 2022 | 评论 | ||||||||||||||||
排放强度净范围1 + 2 + 3(单位:公吨/百万欧元收入) | 0.63 | 0.62 | 0.56 |
比较数字已重新计算,以消除范围3排放数据滞后一年的情况。i在2022年,我们努力以更及时的方式收集排放数据,以便我们能够报告2022年的数据,九个月的实际数据和三个月的估计。所含气体仅为CO2,因为其他气体可以忽略不计。
|
||||||||||||||||
净排放足迹变化%(范围1 + 2)----以市场为基础 | (31) | % | 156 | % | (3) | % | ||||||||||||||
范围2公司2e排放量(千吨)
|
不适用 | 不适用 | 193 | |||||||||||||||||
购买的公司2(单位:千吨)
|
0.9 | 0.9 | 0.7 | |||||||||||||||||
能源属性证书类型(以TJ为单位) | ||||||||||||||||||||
来源担保(政府组织) | 802 | 883 | 840 | |||||||||||||||||
可再生能源证书(RECs) | 281 | 331 | 351 | |||||||||||||||||
I-RECs | 35 | — | 3 | |||||||||||||||||
合计 | 1,118 | 1,214 | 1,194 | |||||||||||||||||
温室气体排放量(GHG)的减少(单位:千吨): | ||||||||||||||||||||
范围1 | 不适用 | 不适用 | 0.16 | |||||||||||||||||
范围2 | 不适用 | 不适用 | 2.41 | |||||||||||||||||
合计 | 不适用 | 不适用 | 2.57 | |||||||||||||||||
大量空气排放– VOC | 不适用 | 不适用 | 13,289 | |||||||||||||||||
巨额罚款和非金钱制裁的数目 | 1 | — | — | 2020年,HMI Beijing因无环境许可证被罚款一次。 | ||||||||||||||||
对不遵守环境法律和条例的巨额罚款的货币价值(单位:千欧元) | 70 | — | — |
ASML2022年年度报告
|
非财务指标继续
|
战略报告 | 治理 | 金融 |
275
|
|||||||||||||||
非财务指标(续) |
循环经济–废物管理 | ||||||||||||||||||||
说明 | 2020 | 2021 | 2022 | 评论 | ||||||||||||||||
产生的废物总量(以1,000公斤计)1 & 2
|
||||||||||||||||||||
非危险废物总数 | 4,654 | 5,284 | 6,295 | |||||||||||||||||
危险废物总数 | 372 | 395 | 380 | |||||||||||||||||
建筑垃圾总量 | 231 | 199 | 238 | |||||||||||||||||
合计 | 5,257 | 5,878 | 6,913 | 所有废物均在场外处理,现场无废物处理。 | ||||||||||||||||
按处置方式分列的废物总量(以1,000公斤计)1
|
||||||||||||||||||||
从处置中转移的废物 | 4,466 | 4,544 | 5,186 | |||||||||||||||||
直接处置的废物 | 791 | 1,334 | 1,727 | |||||||||||||||||
合计 | 5,257 | 5,878 | 6,913 | |||||||||||||||||
处置后转用的废物:再循环(以1,000公斤计)1
|
我们应用废物回收。其他类别,如再利用准备和堆肥不适用于ASML。 | |||||||||||||||||||
非危险废物总数 | 3,911 | 4,028 | 4,719 | |||||||||||||||||
危险废物总数 | 349 | 346 | 309 | |||||||||||||||||
建筑垃圾总量 | 206 | 170 | 158 | |||||||||||||||||
合计 | 4,466 | 4,544 | 5,186 | |||||||||||||||||
废物处置指南:焚烧(能源回收)(单位:1,000公斤)1
|
||||||||||||||||||||
非危险废物总数 | 411 | 938 | 1,246 | 2021年和2022年由于供应商对废物处理的改变而有所增加。我们与供应商和供应商进行了接触,以提高未来的回收率。 | ||||||||||||||||
危险废物总数 | 9 | 16 | 37 | |||||||||||||||||
建筑垃圾总量 | 20 | 17 | 74 | |||||||||||||||||
合计 | 440 | 971 | 1,357 | |||||||||||||||||
指示处置的废物:焚烧(不进行能源回收)(单位:1,000公斤)1
|
||||||||||||||||||||
非危险废物总数 | 3 | 51 | 66 | |||||||||||||||||
危险废物总数 | 13 | 27 | 24 | |||||||||||||||||
建筑垃圾总量 | 0 | 0 | 0 | |||||||||||||||||
合计 | 16 | 78 | 90 | |||||||||||||||||
ASML2022年年度报告
|
非财务指标继续
|
战略报告 | 治理 | 金融 |
276
|
|||||||||||||||
非财务指标(续) |
循环经济–废物管理 | ||||||||||||||||||||
说明 | 2020 | 2021 | 2022 | 评论 | ||||||||||||||||
直接处置的废物:垃圾填埋场(以1,000公斤计)1
|
||||||||||||||||||||
非危险废物总数 | 329 | 267 | 264 | |||||||||||||||||
危险废物总数 | 1 | 6 | 10 | |||||||||||||||||
建筑垃圾总量 | 5 | 12 | 6 | |||||||||||||||||
合计 | 335 | 285 | 280 | |||||||||||||||||
处置的废物总量(占作业废物总量的百分比)1
|
||||||||||||||||||||
焚烧(能源回收) | 8 | % | 17 | % | 19 | % | ||||||||||||||
焚烧(不含能源回收) | — | % | 1 | % | 2 | % | ||||||||||||||
垃圾填埋场 | 7 | % | 5 | % | 4 | % | ||||||||||||||
合计 | 15 | % | 23 | % | 25 | % |
ASML2022年年度报告
|
非财务指标继续
|
战略报告 | 治理 | 金融 |
277
|
|||||||||||||||
非财务指标(续) |
循环经济–水资源管理 | ||||||||||||||||||||
说明 | 2020 | 2021 | 2022 | 评论 | ||||||||||||||||
耗水量(以1000米为单位)3),拆分为:
|
||||||||||||||||||||
维尔德霍芬 | 658 | 728 | 834 | |||||||||||||||||
圣地亚哥 | 80 | 105 | 115 | |||||||||||||||||
威尔顿 | 94 | 95 | 90 | |||||||||||||||||
林口 | 28 | 26 | 22 | |||||||||||||||||
圣何塞 | — | 21 | 32 | 自2021年起适用该指标。 | ||||||||||||||||
台南 | — | 30 | 33 | 自2021年起适用该指标。 | ||||||||||||||||
其他 | — | 36 | 36 | 自2021年起适用该指标。其他包括总共有250多个FTE的地点。 | ||||||||||||||||
合计 | 860 | 1,041 | 1,162 | 市政供水。 | ||||||||||||||||
超纯水总消耗量(以1000米为单位)3)
|
127 | 84 | 86 | 只有Veldhoven、Linkou和HMI台南在这一指标的范围内。其他地点被排除在范围之外,因为尚无关于该指标的报告数据。 | ||||||||||||||||
回收和再利用水总量(%) | 1.8 | % | 1.2 | % | 1.6 | % | 只有Veldhoven、Linkou和HMI台南在这一指标的范围内。其他地点被排除在范围之外,因为尚无关于该指标的报告数据。 | |||||||||||||
水强度(千米)3/欧元收入)
|
62 | 56 | 55 |
水强度计算为总耗水量(米3)除以总收入(百万)。
|
ASML2022年年度报告
|
非财务指标继续
|
战略报告 | 治理 | 金融 |
278
|
|||||||||||||||
非财务指标(续) |
人人享有有吸引力的工作场所–劳动力指标1
|
||||||||||||||||||||||||||||||||||||||||||||||||||
FTE(发薪和临时)数目) | ASML合计 | 亚洲 | 欧洲、中东和非洲 | 美国 | ||||||||||||||||||||||||||||||||||||||||||||||
2020 | 2021 | 2022 | 2020 | 2021 | 2022 | 2020 | 2021 | 2022 | 2020 | 2021 | 2022 | |||||||||||||||||||||||||||||||||||||||
薪金雇员(以工薪股计算) | 25,082 | 28,747 | 34,719 | 6,027 | 7,404 | 8,840 | 13,627 | 15,444 | 18,660 | 5,428 | 5,899 | 7,219 | ||||||||||||||||||||||||||||||||||||||
女性(%) | 17 | 18 | 19 | 17 | 17 | 18 | 17 | 18 | 20 | 17 | 17 | 19 | ||||||||||||||||||||||||||||||||||||||
男性(%) | 83 | 82 | 81 | 83 | 83 | 82 | 83 | 82 | 80 | 83 | 83 | 81 | ||||||||||||||||||||||||||||||||||||||
未知(%) | 不适用 | 不适用 | — | 不适用 | 不适用 | — | 不适用 | 不适用 | — | 不适用 | 不适用 | — | ||||||||||||||||||||||||||||||||||||||
临时雇员(FTE) | 1,399 | 2,095 | 2,924 | 30 | 26 | 31 | 1,087 | 1,786 | 2,607 | 282 | 283 | 286 | ||||||||||||||||||||||||||||||||||||||
女性(%) | 16 | 18 | 19 | 28 | 19 | 23 | 19 | 20 | 20 | 7 | 8 | 2 | ||||||||||||||||||||||||||||||||||||||
男性(%) | 84 | 82 | 73 | 72 | 81 | 71 | 81 | 80 | 80 | 93 | 92 | 18 | ||||||||||||||||||||||||||||||||||||||
未知(%) | 不适用 | 不适用 | 8 | 不适用 | 不适用 | 6 | 不适用 | 不适用 | — | 不适用 | 不适用 | 80 | ||||||||||||||||||||||||||||||||||||||
合计 | 26,481 | 30,842 | 37,643 | 6,057 | 7,430 | 8,871 | 14,714 | 17,230 | 21,267 | 5,710 | 6,182 | 7,505 | ||||||||||||||||||||||||||||||||||||||
FTE总数(按年龄组) | ||||||||||||||||||||||||||||||||||||||||||||||||||
<30 | 4,798 | 6,344 | 8,837 | 1,518 | 2,191 | 2,736 | 2,381 | 3,041 | 4,449 | 899 | 1,112 | 1,652 | ||||||||||||||||||||||||||||||||||||||
30-50 | 16,848 | 19,058 | 22,736 | 4,300 | 4,933 | 5,778 | 9,615 | 11,007 | 13,170 | 2,933 | 3,118 | 3,788 | ||||||||||||||||||||||||||||||||||||||
>50 | 4,556 | 5,158 | 5,792 | 238 | 305 | 355 | 2,718 | 3,182 | 3,647 | 1,600 | 1,671 | 1,790 | ||||||||||||||||||||||||||||||||||||||
不详 | 279 | 282 | 278 | 1 | 1 | 2 | — | — | 1 | 278 | 281 | 275 | ||||||||||||||||||||||||||||||||||||||
合计 | 26,481 | 30,842 | 37,643 | 6,057 | 7,430 | 8,871 | 14,714 | 17,230 | 21,267 | 5,710 | 6,182 | 7,505 | ||||||||||||||||||||||||||||||||||||||
FTE总数(发薪和临时) | ||||||||||||||||||||||||||||||||||||||||||||||||||
女性(%) | 17 | 18 | 19 | 不适用 | 不适用 | 18 | 不适用 | 不适用 | 20 | 不适用 | 不适用 | 18 | ||||||||||||||||||||||||||||||||||||||
男性(%) | 83 | 82 | 80 | 不适用 | 不适用 | 82 | 不适用 | 不适用 | 80 | 不适用 | 不适用 | 79 | ||||||||||||||||||||||||||||||||||||||
未知(%) | 不适用 | 不适用 | 1 | 不适用 | 不适用 | — | 不适用 | 不适用 | — | 不适用 | 不适用 | 3 |
人人享有有吸引力的工作场所–劳动力指标1
|
||||||||||||||||||||||||||||||||||||||||||||||||||
发薪FTE人数(分为全时和非全时) | ASML合计 | 亚洲 | 欧洲、中东和非洲 | 美国 | ||||||||||||||||||||||||||||||||||||||||||||||
2020 | 2021 | 2022 | 2020 | 2021 | 2022 | 2020 | 2021 | 2022 | 2020 | 2021 | 2022 | |||||||||||||||||||||||||||||||||||||||
全职薪资FTE | ||||||||||||||||||||||||||||||||||||||||||||||||||
女性(%) | 15 | 16 | 18 | 17 | 17 | 18 | 14 | 15 | 17 | 17 | 17 | 19 | ||||||||||||||||||||||||||||||||||||||
男性(%) | 85 | 84 | 82 | 83 | 83 | 82 | 86 | 85 | 83 | 83 | 83 | 81 | ||||||||||||||||||||||||||||||||||||||
未知(%) | 不适用 | 不适用 | — | 不适用 | 不适用 | — | 不适用 | 不适用 | — | 不适用 | 不适用 | — | ||||||||||||||||||||||||||||||||||||||
合计 | 23,317 | 26,847 | 32,635 | 6,024 | 7,401 | 8,835 | 11,878 | 13,560 | 16,594 | 5,415 | 5,886 | 7,206 |
ASML2022年年度报告
|
非财务指标继续
|
战略报告 | 治理 | 金融 |
279
|
|||||||||||||||
非财务指标(续) |
发薪FTE人数(分为全时和非全时) | ASML合计 | 亚洲 | 欧洲、中东和非洲 | 美国 | ||||||||||||||||||||||||||||||||||||||||||||||
非全时工资FTE | ||||||||||||||||||||||||||||||||||||||||||||||||||
女性(%) | 37 | 37 | 38 | — | — | 28 | 37 | 37 | 38 | 46 | 27 | 30 | ||||||||||||||||||||||||||||||||||||||
男性(%) | 63 | 63 | 62 | 100 | 100 | 72 | 63 | 63 | 62 | 54 | 73 | 70 | ||||||||||||||||||||||||||||||||||||||
未知(%) | 不适用 | 不适用 | — | 不适用 | 不适用 | — | 不适用 | 不适用 | — | 不适用 | 不适用 | — | ||||||||||||||||||||||||||||||||||||||
合计 | 1,765 | 1,900 | 2,084 | 3 | 3 | 5 | 1,749 | 1,884 | 2,066 | 13 | 13 | 13 |
人人享有有吸引力的工作场所–劳动力指标
|
||||||||||||||||||||||||||||||||||||||||||||||||||
新雇用的发薪雇员人数(以FTE为单位) | ASML合计 | 亚洲 | 欧洲、中东和非洲 | 美国 | ||||||||||||||||||||||||||||||||||||||||||||||
2020 | 2021 | 2022 | 2020 | 2021 | 2022 | 2020 | 2021 | 2022 | 2020 | 2021 | 2022 | |||||||||||||||||||||||||||||||||||||||
新雇用人数 | 1,932 | 4,373 | 7,130 | 598 | 1,848 | 2,057 | 879 | 1,737 | 3,306 | 455 | 788 | 1,767 | ||||||||||||||||||||||||||||||||||||||
新雇员占总薪金雇员的百分比 | 8 | 15 | 21 | 10 | 25 | 23 | 6 | 11 | 18 | 8 | 13 | 25 | ||||||||||||||||||||||||||||||||||||||
性别 | ||||||||||||||||||||||||||||||||||||||||||||||||||
女性 | 454 | 896 | 1,724 | 123 | 313 | 415 | 216 | 432 | 903 | 115 | 151 | 406 | ||||||||||||||||||||||||||||||||||||||
男 | 1,478 | 3,477 | 5,400 | 475 | 1,535 | 1,641 | 663 | 1,305 | 2,402 | 340 | 637 | 1,357 | ||||||||||||||||||||||||||||||||||||||
不详 | 不适用 | 不适用 | 6 | 不适用 | 不适用 | 1 | 不适用 | 不适用 | 1 | 不适用 | 不适用 | 4 | ||||||||||||||||||||||||||||||||||||||
合计 | 1,932 | 4,373 | 7,130 | 598 | 1,848 | 2,057 | 879 | 1,737 | 3,306 | 455 | 788 | 1,767 | ||||||||||||||||||||||||||||||||||||||
年龄组 | ||||||||||||||||||||||||||||||||||||||||||||||||||
<30 | 854 | 2,392 | 3,581 | 338 | 1,213 | 1,321 | 329 | 783 | 1,457 | 187 | 396 | 803 | ||||||||||||||||||||||||||||||||||||||
30-50 | 947 | 1,789 | 3,241 | 253 | 627 | 730 | 491 | 848 | 1,708 | 203 | 314 | 803 | ||||||||||||||||||||||||||||||||||||||
>50 | 131 | 190 | 308 | 7 | 6 | 6 | 59 | 106 | 141 | 65 | 78 | 161 | ||||||||||||||||||||||||||||||||||||||
不详 | — | 2 | — | — | 2 | — | — | — | — | — | — | — | ||||||||||||||||||||||||||||||||||||||
合计 | 1,932 | 4,373 | 7,130 | 598 | 1,848 | 2,057 | 879 | 1,737 | 3,306 | 455 | 788 | 1,767 |
ASML2022年年度报告
|
非财务指标继续
|
战略报告 | 治理 | 金融 |
280
|
|||||||||||||||
非财务指标(续) |
人人享有有吸引力的工作场所–劳动力指标
|
||||||||||||||||||||||||||||||||||||||||||||||||||
员工自然减员(FTE) | ASML合计 | 亚洲 | 欧洲、中东和非洲 | 美国 | ||||||||||||||||||||||||||||||||||||||||||||||
2020 | 2021 | 2022 | 2020 | 2021 | 2022 | 2020 | 2021 | 2022 | 2020 | 2021 | 2022 | |||||||||||||||||||||||||||||||||||||||
非自愿雇员减员人数 | 186 | 199 | 226 | 38 | 41 | 34 | 102 | 101 | 119 | 46 | 57 | 73 | ||||||||||||||||||||||||||||||||||||||
自愿雇员自然减员人数 | 723 | 1,234 | 1,678 | 201 | 421 | 530 | 239 | 341 | 503 | 283 | 472 | 645 | ||||||||||||||||||||||||||||||||||||||
合计 | 909 | 1,433 | 1,904 | 239 | 462 | 564 | 341 | 442 | 622 | 329 | 529 | 718 | ||||||||||||||||||||||||||||||||||||||
性别 | ||||||||||||||||||||||||||||||||||||||||||||||||||
女性 | 189 | 258 | 372 | 56 | 78 | 107 | 69 | 89 | 129 | 64 | 91 | 136 | ||||||||||||||||||||||||||||||||||||||
男 | 720 | 1,175 | 1,532 | 183 | 384 | 457 | 272 | 353 | 493 | 265 | 438 | 582 | ||||||||||||||||||||||||||||||||||||||
不详 | 不适用 | 不适用 | — | 不适用 | 不适用 | — | 不适用 | 不适用 | — | 不适用 | 不适用 | — | ||||||||||||||||||||||||||||||||||||||
合计 | 909 | 1,433 | 1,904 | 239 | 462 | 564 | 341 | 442 | 622 | 329 | 529 | 718 | ||||||||||||||||||||||||||||||||||||||
年龄组 | ||||||||||||||||||||||||||||||||||||||||||||||||||
<30 | 218 | 337 | 516 | 73 | 143 | 220 | 67 | 69 | 121 | 78 | 125 | 175 | ||||||||||||||||||||||||||||||||||||||
30-50 | 479 | 806 | 1,063 | 149 | 292 | 326 | 179 | 257 | 383 | 151 | 257 | 354 | ||||||||||||||||||||||||||||||||||||||
>50 | 212 | 290 | 325 | 17 | 27 | 18 | 95 | 116 | 118 | 100 | 147 | 189 | ||||||||||||||||||||||||||||||||||||||
合计 | 909 | 1,433 | 1,904 | 239 | 462 | 564 | 341 | 442 | 622 | 329 | 529 | 718 |
人人享有有吸引力的工作场所–劳动力指标
|
||||||||||||||||||||
说明 | 2020 | 2021 | 2022 | 评论 | ||||||||||||||||
不是雇员的工人(在FTE中)1
|
不适用 | 不适用 | 1,682 |
对所有人都有吸引力的工作场所–员工敬业度 | ||||||||||||||||||||
我们@ ASML按性别分列的敬业度得分 | 2020 | 2021 | 2022 | 评论 | ||||||||||||||||
女性 | 80 | % | 78 | % | 77 | % | ||||||||||||||
男 | 80 | % | 78 | % | 78 | % | ||||||||||||||
基准 | 73 | % | 76 | % | 74 | % |
ASML2022年年度报告
|
非财务指标继续
|
战略报告 | 治理 | 金融 |
281
|
|||||||||||||||
非财务指标(续) |
对所有人都有吸引力的工作场所–员工敬业度
|
||||||||||||||||||||
说明 | 2020 | 2021 | 2022 | 评论 | ||||||||||||||||
员工流失(%) | 3.8 | 5.4 | 6.0 | |||||||||||||||||
内部候选人填补的空缺职位(%) | 30 | 29 | 27 |
对所有人都有吸引力的工作场所–员工敬业度 | ||||||||||||||||||||
说明 | 2020 | 2021 | 2022 | 评论 | ||||||||||||||||
培训费用总额(百万欧元) | 12 | 27 | 47 | MyLearning(学习管理系统)中记录的技术和与产品无关的课堂培训的自付费用。 | ||||||||||||||||
每个FTE在培训和发展方面的平均支出(欧元) | 494 | 1,020 | 1,491 | |||||||||||||||||
每个FTE的培训时数共计 | 包括技术和与产品无关的培训学时(包括提名课程)。 | |||||||||||||||||||
女性 | 26 | 25 | 41 | |||||||||||||||||
男 | 29 | 30 | 52 | |||||||||||||||||
不详 | 不适用 | 不适用 | 304 | |||||||||||||||||
加权平均 | 28 | 29 | 50 | |||||||||||||||||
每个技术FTE的技术培训时数 | 每个FTE的技术培训时数的计算方法是技术培训总时数除以在业务和研发部门技术部门工作的工资总额FTE。 | |||||||||||||||||||
女性 | 22 | 22 | 41 | |||||||||||||||||
男 | 27 | 29 | 50 | |||||||||||||||||
不详 | 不适用 | 不适用 | 347 | |||||||||||||||||
加权平均 | 26 | 28 | 49 | |||||||||||||||||
每个FTE与产品无关的培训时数 | 不包括提名课程(领导才能发展方案)。 | |||||||||||||||||||
女性 | 7 | 8 | 11 | |||||||||||||||||
男 | 4 | 5 | 8 | |||||||||||||||||
不详 | 不适用 | 不适用 | 27 | |||||||||||||||||
加权平均 | 5 | 5 | 8 | |||||||||||||||||
提名课程:领导力发展课程 | ||||||||||||||||||||
培训时数 | 22,896 | 6,264 | 47,454 | 由于新冠疫情,2021年只启动了两个ECAP项目。 | ||||||||||||||||
参加会议的雇员人数(独一无二) | 216 | 48 | 322 |
ASML2022年年度报告
|
非财务指标继续
|
战略报告 | 治理 | 金融 |
282
|
|||||||||||||||
非财务指标(续) |
对所有人都有吸引力的工作场所–多元化和包容性
|
|||||||||||||||||||||||||||||||||||||||||||||||||||||
说明 | 性别 | 性别比例 | 年龄组 | 评论 | |||||||||||||||||||||||||||||||||||||||||||||||||
管理职位和监事会中的男性/女性(人数)1
|
女性 | 男 | 不详 | 合计 | 女性 | 男 | 不详 | < 30 | 30 - 50 | >50 | 不详 | 合计 | |||||||||||||||||||||||||||||||||||||||||
监事会 | 4 | 5 | — | 9 | 44 | % | 56 | % | — | % | — | — | 9 | — | 9 | ||||||||||||||||||||||||||||||||||||||
管理委员会 | — | 5 | — | 5 | — | % | 100 | % | — | % | — | 1 | 4 | — | 5 | ||||||||||||||||||||||||||||||||||||||
高级管理人员 | 78 | 623 | — | 701 | 11 | % | 89 | % | — | % | — | 311 | 390 | — | 701 | ||||||||||||||||||||||||||||||||||||||
中层管理人员 | 469 | 2,869 | 1 | 3,339 | 14 | % | 86 | % | — | % | 1 | 1,994 | 1,344 | — | 3,339 | ||||||||||||||||||||||||||||||||||||||
初级管理 | 312 | 1,502 | — | 1,814 | 17 | % | 83 | % | — | % | 64 | 1,480 | 270 | — | 1,814 | ||||||||||||||||||||||||||||||||||||||
其他 | 5,962 | 23,369 | 4 | 29,335 | 20 | % | 80 | % | — | % | 7,714 | 18,001 | 3,620 | — | 29,335 | ||||||||||||||||||||||||||||||||||||||
合计 | 6,825 | 28,373 | 5 | 35,203 | 19 | % | 81 | % | — | % | 7,779 | 21,787 | 5,637 | — | 35,203 | ||||||||||||||||||||||||||||||||||||||
性别 | 性别比例 | ||||||||||||||||||||||||||||||||||||||||||||||||||||
按部门划分的男女比例(在FTE中) | 女性 | 男 | 不详 | 合计 | 女性 | 男 | 不详 | ||||||||||||||||||||||||||||||||||||||||||||||
客户支持 | 1,055 | 7,741 | 8 | 8,804 | 12 | % | 88 | % | — | % | |||||||||||||||||||||||||||||||||||||||||||
制造和供应链管理 | 1,732 | 7,142 | 91 | 8,965 | 19 | % | 80 | % | 1 | % | |||||||||||||||||||||||||||||||||||||||||||
研究与开发 | 2,203 | 11,598 | 121 | 13,922 | 16 | % | 83 | % | 1 | % | |||||||||||||||||||||||||||||||||||||||||||
一般和行政 | 1,520 | 2,217 | 7 | 3,744 | 41 | % | 60 | % | — | % | |||||||||||||||||||||||||||||||||||||||||||
销售和成熟产品服务 | 116 | 552 | — | 668 | 17 | % | 83 | % | — | % | |||||||||||||||||||||||||||||||||||||||||||
战略供应管理 | 545 | 983 | 12 | 1,540 | 35 | % | 64 | % | 1 | % | |||||||||||||||||||||||||||||||||||||||||||
合计 | 7,171 | 30,233 | 239 | 37,643 | 19 | % | 80 | % | 1 | % |
ASML2022年年度报告
|
非财务指标继续
|
战略报告 | 治理 | 金融 |
283
|
|||||||||||||||
非财务指标(续) |
对所有人都有吸引力的工作场所–多元化和包容性
|
||||||||||||||||||||
说明 | 2020 | 2021 | 2022 | 评论 | ||||||||||||||||
ASML工作的国籍数量 | ||||||||||||||||||||
亚洲 | 35 | 33 | 40 | |||||||||||||||||
欧洲、中东和非洲 | 103 | 108 | 124 | |||||||||||||||||
美国 | 86 | 90 | 101 | |||||||||||||||||
全球总计 | 120 | 122 | 143 | |||||||||||||||||
为ASML工作的外国人(百分比) | 为ASML工作的外国国民(占比%)是指工资单上的百分比以及雇员工作所在国以外国籍的临时雇员的百分比。 | |||||||||||||||||||
亚洲 | 6 | 5 | 5 | |||||||||||||||||
欧洲、中东和非洲 | 32 | 33 | 38 | |||||||||||||||||
美国 | 27 | 28 | 25 | |||||||||||||||||
全球总计 | 25 | 26 | 28 |
对所有人都有吸引力的工作场所–劳资关系
|
||||||||||||||||||||
说明 | 2020 | 2021 | 2022 | 评论 | ||||||||||||||||
集体谈判协议涵盖的雇员百分比 | 53 | % | 52 | % | 53 | % |
ASML2022年年度报告
|
非财务指标继续
|
战略报告 | 治理 | 金融 |
284
|
|||||||||||||||
非财务指标(续) |
对所有人都有吸引力的工作场所–公平的薪酬2
|
||||||||||||||||||||
说明 | 2020 | 2021 | 2022 | 评论 | ||||||||||||||||
妇女与男子基薪总额的比率1
|
||||||||||||||||||||
高级管理人员 | 99 | % | 99 | % | 100 | % | ||||||||||||||
中层管理人员 | 98 | % | 99 | % | 99 | % | ||||||||||||||
非管理 | 98 | % | 98 | % | 98 | % | ||||||||||||||
妇女与男子基薪比率亚洲1
|
||||||||||||||||||||
高级管理人员 | 不适用 | 不适用 | 102 | % | ||||||||||||||||
中层管理人员 | 不适用 | 不适用 | 98 | % | ||||||||||||||||
非管理 | 不适用 | 不适用 | 95 | % | ||||||||||||||||
欧洲、中东和非洲地区妇女与男子基薪比率1
|
||||||||||||||||||||
高级管理人员 | 不适用 | 不适用 | 99 | % | ||||||||||||||||
中层管理人员 | 不适用 | 不适用 | 98 | % | ||||||||||||||||
非管理 | 不适用 | 不适用 | 98 | % | ||||||||||||||||
女性与男性的基本工资比率美国1
|
||||||||||||||||||||
高级管理人员 | 不适用 | 不适用 | 100 | % | ||||||||||||||||
中层管理人员 | 不适用 | 不适用 | 100 | % | ||||||||||||||||
非管理 | 不适用 | 不适用 | 100 | % | ||||||||||||||||
妇女现金总额与男子现金总额的比率1
|
||||||||||||||||||||
高级管理人员 | 99 | % | 99 | % | 102 | % | ||||||||||||||
中层管理人员 | 98 | % | 99 | % | 98 | % | ||||||||||||||
非管理 | 97 | % | 98 | % | 97 | % | ||||||||||||||
妇女与男子现金总额比率亚洲1
|
||||||||||||||||||||
高级管理人员 | 不适用 | 不适用 | 110 | % | ||||||||||||||||
中层管理人员 | 不适用 | 不适用 | 92 | % | ||||||||||||||||
非管理 | 不适用 | 不适用 | 96 | % | ||||||||||||||||
妇女与男子现金总额比率EMEA1
|
||||||||||||||||||||
高级管理人员 | 不适用 | 不适用 | 101 | % | ||||||||||||||||
中层管理人员 | 不适用 | 不适用 | 98 | % | ||||||||||||||||
非管理 | 不适用 | 不适用 | 98 | % |
ASML2022年年度报告
|
非财务指标继续
|
战略报告 | 治理 | 金融 |
285
|
|||||||||||||||
非财务指标(续) |
对所有人都有吸引力的工作场所–公平的薪酬2
|
||||||||||||||||||||
说明 | 2020 | 2021 | 2022 | 评论 | ||||||||||||||||
女性与男性现金总额比率美国1
|
||||||||||||||||||||
高级管理人员 | 不适用 | 不适用 | 96 | % | ||||||||||||||||
中层管理人员 | 不适用 | 不适用 | 100 | % | ||||||||||||||||
非管理 | 不适用 | 不适用 | 100 | % | ||||||||||||||||
内部薪酬比率(首席执行官与雇员薪酬)3
|
38 | 40 | 34 | 有关详细信息,请参阅薪酬报告。 |
为所有人提供有吸引力的工作场所–本组织全职和兼职雇员的标准福利,但不提供给临时雇员1
|
||||||||||||||
雇员福利类型: | 雇员类型 | |||||||||||||
全职雇员 | 非全时雇员 | 临时雇员 |
2
|
|||||||||||
一、人寿保险3
|
是 | 是 | 无 | |||||||||||
二、医疗保健3
|
是 | 是 | 无 | |||||||||||
三、残疾和伤残保险3
|
是 | 是 | 无 | |||||||||||
四、育儿假3
|
是 | 是 | 无 | |||||||||||
五.退休规定 | 是 | 是 | 无 | |||||||||||
六、股权 | 是 | 是 | 无 |
ASML2022年年度报告
|
非财务指标继续
|
战略报告 | 治理 | 金融 |
286
|
|||||||||||||||
非财务指标(续) |
为所有人提供有吸引力的工作场所–员工安全
|
||||||||||||||||||||
说明 | 2020 | 2021 | 2022 | 评论 | ||||||||||||||||
ASML可记录事故率1
|
0.18 | 0.17 | 0.18 | 包括疾病和受伤。 | ||||||||||||||||
可记录事件数目(雇员) | 46 | 48 | 63 | |||||||||||||||||
可记录事件数目(承包商) | 不适用 | 不适用 | 9 | |||||||||||||||||
死亡人数 | — | — | — | 这涉及雇员和不是雇员的工人。 | ||||||||||||||||
工伤雇员按以下方式分列: | ||||||||||||||||||||
死亡率 | 不适用 | 不适用 | — | |||||||||||||||||
可记录的受伤人数 | 不适用 | 不适用 | 48 | |||||||||||||||||
可记录伤害率 | 不适用 | 不适用 | 0.14 | |||||||||||||||||
高后果伤害数 | 不适用 | 不适用 | 2 | |||||||||||||||||
高后果伤害率 | 不适用 | 不适用 | 0.01 | |||||||||||||||||
按雇员划分的主要工伤类型(按危险类别划分) | ||||||||||||||||||||
电气 | 不适用 | 不适用 | 1 | |||||||||||||||||
人体工程学 | 不适用 | 不适用 | 17 | |||||||||||||||||
设施 | 不适用 | 不适用 | 88 | |||||||||||||||||
有害物质和材料 | 不适用 | 不适用 | 9 | |||||||||||||||||
吊装和起重 | 不适用 | 不适用 | 10 | |||||||||||||||||
Mechanical | 不适用 | 不适用 | 147 | |||||||||||||||||
压力系统 | 不适用 | 不适用 | 1 | |||||||||||||||||
热能 | 不适用 | 不适用 | 2 | |||||||||||||||||
旅行 | 不适用 | 不适用 | 10 | |||||||||||||||||
#工作时间 | 不适用 | 不适用 | 68,746,820 | |||||||||||||||||
不是工伤雇员的工人按以下方式分列: | ||||||||||||||||||||
可记录的受伤人数 | 不适用 | 不适用 | 8 | |||||||||||||||||
高后果伤害数 | 不适用 | 不适用 | — | |||||||||||||||||
ASML2022年年度报告
|
非财务指标继续
|
战略报告 | 治理 | 金融 |
287
|
|||||||||||||||
非财务指标(续) |
为所有人提供有吸引力的工作场所–员工安全
|
||||||||||||||||||||
说明 | 2020 | 2021 | 2022 | 评论 | ||||||||||||||||
非雇员的主要工伤类型(按危险类别划分) | ||||||||||||||||||||
电气 | 不适用 | 不适用 | 1 | |||||||||||||||||
人体工程学 | 不适用 | 不适用 | 3 | |||||||||||||||||
设施 | 不适用 | 不适用 | 18 | |||||||||||||||||
有害物质和材料 | 不适用 | 不适用 | 1 | |||||||||||||||||
吊装和起重 | 不适用 | 不适用 | 5 | |||||||||||||||||
Mechanical | 不适用 | 不适用 | 29 | |||||||||||||||||
压力系统 | 不适用 | 不适用 | 2 | |||||||||||||||||
旅行 | 不适用 | 不适用 | 1 | |||||||||||||||||
与工作有关的健康状况不佳的雇员按以下方式分列: | ||||||||||||||||||||
可记录的健康不良人数 | 不适用 | 不适用 | 15 | |||||||||||||||||
按雇员划分的与工作有关的健康不良的主要类型(按危险类别划分) | 不适用 | 不适用 | — | |||||||||||||||||
人体工程学 | 不适用 | 不适用 | 22 | |||||||||||||||||
设施 | 不适用 | 不适用 | 4 | |||||||||||||||||
有害气体 | 不适用 | 不适用 | — | |||||||||||||||||
有害物质和材料 | 不适用 | 不适用 | 4 | |||||||||||||||||
吊装和起重 | 不适用 | 不适用 | 2 | |||||||||||||||||
Mechanical | 不适用 | 不适用 | 1 | |||||||||||||||||
压力系统 | 不适用 | 不适用 | 1 | |||||||||||||||||
不是患有与工作有关的疾病的雇员的工人按以下方式分列: | ||||||||||||||||||||
可记录的健康不良人数 | 不适用 | 不适用 | 1 | |||||||||||||||||
非雇员的工人与工作有关的健康不良的主要类型(按危险类别划分) | ||||||||||||||||||||
人体工程学 | 不适用 | 不适用 | 2 | |||||||||||||||||
有害气体 | 不适用 | 不适用 | 1 | |||||||||||||||||
Mechanical | 不适用 | 不适用 | 1 | |||||||||||||||||
ASML2022年年度报告
|
非财务指标继续
|
战略报告 | 治理 | 金融 |
288
|
|||||||||||||||
非财务指标(续) |
我们的供应链–负责任的供应链 | ||||||||||||||||||||
说明 | 2020 | 2021 | 2022 | 评论 | ||||||||||||||||
供应商可持续性评估(#),按: | ||||||||||||||||||||
审计 | — | — | 2 | 2020年和2021年,由于新冠疫情的限制,审计被搁置。 | ||||||||||||||||
RBA自我评估问卷(SAQ) | 59 | 56 | 59 |
我们的供应链–供应链 | ||||||||||||||||||||
说明 | 2020 | 2021 | 2022 | 评论 | ||||||||||||||||
供应商总数 | 4,749 | 4,657 | 4,984 | |||||||||||||||||
每个区域的供应商数目: | ||||||||||||||||||||
亚洲 | 1,313 | 1,319 | 1,348 | |||||||||||||||||
欧洲、中东和非洲(不包括荷兰) | 684 | 702 | 745 | |||||||||||||||||
荷兰 | 1,477 | 1,459 | 1,584 | |||||||||||||||||
北美洲 | 1,275 | 1,177 | 1,307 | |||||||||||||||||
合计 | 4,749 | 4,657 | 4,984 | |||||||||||||||||
供应商数目,按: | ||||||||||||||||||||
产品相关 | 779 | 772 | 789 | |||||||||||||||||
与产品无关 | 3,970 | 3,885 | 4,195 | |||||||||||||||||
合计 | 4,749 | 4,657 | 4,984 | 大多数是一级供应商。 | ||||||||||||||||
供应商数目,按: | ||||||||||||||||||||
危急 | 222 | 229 | 245 | 关键供应商是具有战略重要性的一级供应商。 | ||||||||||||||||
非关键 | 4,527 | 4,428 | 4,739 | |||||||||||||||||
合计 | 4,749 | 4,657 | 4,984 | |||||||||||||||||
关键供应商数目,按: | ||||||||||||||||||||
产品相关 | 188 | 197 | 216 | |||||||||||||||||
与产品无关 | 34 | 32 | 29 | |||||||||||||||||
合计 | 222 | 229 | 245 | |||||||||||||||||
风险管理范围内的供应商数目 | 235 | 243 | 264 | 这包括19个关键的二级供应商。 | ||||||||||||||||
采购支出总额(百万欧元) | 7,645 | 9,045 | 12,402 | |||||||||||||||||
每个供应商集团的采购支出(%) | ||||||||||||||||||||
产品相关 | 68 | % | 70 | % | 69 | % | ||||||||||||||
与产品无关 | 32 | % | 30 | % | 31 | % |
ASML2022年年度报告
|
非财务指标继续
|
战略报告 | 治理 | 金融 |
289
|
|||||||||||||||
非财务指标(续) |
我们的供应链–供应链 | ||||||||||||||||||||
说明 | 2020 | 2021 | 2022 | 评论 | ||||||||||||||||
对当地供应商的支出比例(%) | 我们将‘地方’定义为重要业务地点所在的国家。ASML的主要生产基地位于荷兰的费尔德霍芬;台湾的林口;美国的圣地亚哥和威尔顿,这些都是ASML重要的业务所在地。台南的制造地点对这个指标来说并不重要。 | |||||||||||||||||||
维尔德霍芬 | 47 | % | 45 | % | 45 | % | Veldhoven的供应商总支出中有相当大一部分与Carl Zeiss(非本地)有关。 | |||||||||||||
林口 | 48 | % | 50 | % | 53 | % | ||||||||||||||
圣地亚哥 | 94 | % | 92 | % | 92 | % | ||||||||||||||
威尔顿 | 71 | % | 64 | % | 71 | % |
治理–商业道德 | ||||||||||||||||||||
说明 | 2020 | 2021 | 2022 | 评论 | ||||||||||||||||
Speak Up消息总数,按以下方式拆分: | 229 | 396 | 414 | |||||||||||||||||
反腐败和贿赂宣传信息 | 19 | 37 | 31 | 没有一条Speak Up信息表明有任何违反反腐败法的行为。 | ||||||||||||||||
人权 | 69 | 187 | 165 | |||||||||||||||||
-其中歧视和骚扰
|
不适用 | 不适用 | 106 |
治理–产品安全 | ||||||||||||||||||||
说明 | 2020 | 2021 | 2022 | 评论 | ||||||||||||||||
因不遵守产品设计相关法律和法规而被处以(重大)罚款的数目 | — | — | — | |||||||||||||||||
因不遵守产品设计相关法律法规而被处以巨额罚款的金额 | — | — | — |
ASML2022年年度报告
|
战略报告 | 治理 | 金融 |
290
|
ASML2022年年度报告
|
其他附录 | 战略报告 | 治理 | 金融 |
291
|
|||||||||||||||
附录-首席会计师费用和服务 |
截至12月31日止年度 | 2021 | 2022 | |||||||||||||||||||||
(欧元,单位:千) | 毕马威会计师事务所。 | 毕马威网络 | 合计 | 毕马威会计师事务所。 | 毕马威网络 | 合计 | |||||||||||||||||
审计费用 | 2,449 | 1,047 | 3,496 | 3,203 | 1,064 | 4,267 | |||||||||||||||||
审计相关费用 | 90 | — | 90 | 150 | — | 150 | |||||||||||||||||
税费 | — | — | — | — | — | — | |||||||||||||||||
所有其他费用 | 27 | — | 27 | 47 | 9 | 56 | |||||||||||||||||
首席会计师费 | 2,566 | 1,047 | 3,613 | 3,400 | 1,073 | 4,473 |
ASML2022年年度报告
|
其他附录继续
|
战略报告 | 治理 | 金融 |
292
|
|||||||||||||||
附录-不动产、厂场和设备 |
ASML2022年年度报告
|
其他附录继续
|
战略报告 | 治理 | 金融 |
293
|
|||||||||||||||
附录-荷兰税收 |
ASML2022年年度报告
|
其他附录继续
|
战略报告 | 治理 | 金融 |
294
|
|||||||||||||||
附录-荷兰税收(续) |
ASML2022年年度报告
|
其他附录继续
|
战略报告 | 治理 | 金融 |
295
|
|||||||||||||||
附录-荷兰税收(续) |
ASML2022年年度报告
|
其他附录继续
|
战略报告 | 治理 | 金融 |
296
|
|||||||||||||||
附录-荷兰税收(续) |
ASML2022年年度报告
|
其他附录继续
|
战略报告 | 治理 | 金融 |
297
|
|||||||||||||||
附录-融资政策 |
截至12月31日止年度(百万欧元) | 2021 | 2022 | ||||||
在金融机构、政府和政府有关机构的存款 | 2,131.7 | 2,548.1 | ||||||
对货币市场基金的投资 | 2,928.3 | 3,196.7 | ||||||
银行账户 | 1,891.8 | 1,523.5 | ||||||
现金及现金等价物 | 6,951.8 | 7,268.3 | ||||||
在金融机构、政府和政府有关机构的存款 | 638.5 | 107.7 | ||||||
短期投资 | 638.5 | 107.7 |
ASML2022年年度报告
|
其他附录继续
|
战略报告 | 治理 | 金融 |
298
|
|||||||||||||||
附录-筹资政策(续) |
累计现金回报
(现金回报为累计股票回购+股息)
|
ASML2022年年度报告
|
其他附录继续
|
战略报告 | 治理 | 金融 |
299
|
|||||||||||||||
附录-政府条例 |
ASML2022年年度报告
|
其他附录继续
|
战略报告 | 治理 | 金融 |
300
|
|||||||||||||||
附录-报价和上市详情 |
ASML2022年年度报告
|
其他附录继续
|
战略报告 | 治理 | 金融 |
301
|
|||||||||||||||
附录----材料合同 |
ASML2022年年度报告
|
其他附录继续
|
战略报告 | 治理 | 金融 |
302
|
|||||||||||||||
附录-外汇管制 |
ASML2022年年度报告
|
其他附录继续
|
战略报告 | 治理 | 金融 |
303
|
|||||||||||||||
附录-展出的文件 |
ASML2022年年度报告
|
其他附录继续
|
战略报告 | 治理 | 金融 |
304
|
|||||||||||||||
附录-控制和程序 |
ASML2022年年度报告
|
其他附录继续
|
战略报告 | 治理 | 金融 |
305
|
|||||||||||||||
附录-财务日历和投资者关系 |
ASML2022年年度报告
|
其他附录继续
|
战略报告 | 治理 | 金融 |
306
|
|||||||||||||||
附录-ASML联系信息 |
ASML2022年年度报告
|
其他附录继续
|
战略报告 | 治理 | 金融 |
307
|
|||||||||||||||
附录-参考表20-f |
项目 | 表格20-f标题 | 本文档中的位置 | 页 | ||||||||
第一部分 | |||||||||||
1 | 董事、高级管理人员的身份 和顾问 |
不适用 | |||||||||
2 | 报盘统计数字及预期时间表 | 不适用 | |||||||||
3 | 关键信息 | ||||||||||
B.资本化和负债 | 不适用 | ||||||||||
C.提供和使用收益的理由 | 不适用 | ||||||||||
D.风险因素 | 风险-风险因素 | ||||||||||
4 | 关于公司的资料 | ||||||||||
A.公司的历史和发展 | 封面页 | ||||||||||
我们公司 | |||||||||||
附录-不动产、厂场和设备 | |||||||||||
附录-展出的文件 | |||||||||||
附录-ASML联系信息 | |||||||||||
B.业务概览 | 我们公司 | ||||||||||
市场 | |||||||||||
附注2客户合同收入 | |||||||||||
附注3分部披露 | |||||||||||
附录-政府条例 | |||||||||||
C.组织Structure | 公司治理-遵守公司治理要求-公司信息 | ||||||||||
D.不动产、厂场和设备 | 附注13 固定资产、工厂及设备,净值 | ||||||||||
附录-不动产、厂场和设备 | |||||||||||
4A | 未解决的工作人员意见 | 不适用 | |||||||||
5 | 业务和财务 审查和展望 |
||||||||||
A.经营成果 | 财务业绩-业绩关键绩效指标 | ||||||||||
B.流动性和资本资源 | 财务业绩-业绩关键绩效指标 | ||||||||||
融资政策
|
|||||||||||
合并现金流量表 | |||||||||||
附注4现金及现金等价物和短期投资 |
项目 | 表格20-f标题 | 本文档中的位置 | 页 | ||||||||
附注16长期债务和利息及其他费用 | |||||||||||
附注17承付款项和意外开支 | |||||||||||
附注25财务风险管理 | |||||||||||
C.研究与发展, 及牌照等。 |
与CTO的问答 | ||||||||||
我们如何创新 | |||||||||||
财务执行情况----研究和开发费用 | |||||||||||
创新生态系统 | |||||||||||
负责任的企业----知识产权保护 | |||||||||||
D.趋势信息 | 长期增长机会 | ||||||||||
风险-风险因素 | |||||||||||
E.关键会计估计数 | 合并财务报表----合并财务报表附注----附注1一般信息/一般会计政策摘要 | ||||||||||
6 | 董事、高级管理人员和雇员 | ||||||||||
A.董事和高级管理人员 | 公司治理 | ||||||||||
B.补偿 | 薪酬报告 | ||||||||||
C.董事会惯例 | 公司治理 | ||||||||||
公司治理–监事会报告–监事会委员会 | |||||||||||
D.雇员 | 社交----吸引所有人的工作场所 | ||||||||||
E.股权 | 公司治理-股东周年大会和股本-主要股东 | ||||||||||
薪酬报告-管理委员会薪酬 | |||||||||||
附注20股份补偿 | |||||||||||
7 | 大股东与关联交易 | ||||||||||
A.主要股东 | 公司治理-股东周年大会和股本-主要股东 | ||||||||||
B.关联交易 | 附注26关联方和可变利益实体 |
ASML2022年年度报告
|
其他附录继续
|
战略报告 | 治理 | 金融 |
308
|
|||||||||||||||
附录-参照表20-f(续) |
项目 | 表格20-f标题 | 本文档中的位置 | 页 | ||||||||
C.专家和法律顾问的利益 | 不适用 | ||||||||||
8 | 财务信息 | ||||||||||
A.合并报表和其他财务资料 | 合并财务报表 | ||||||||||
B.重大变化 | 长期增长机会 | ||||||||||
合并财务报表附注 | |||||||||||
9 | 要约和上市 | ||||||||||
A.要约和上市详情 | 附录-报价和上市详情 | ||||||||||
B.分配计划 | 不适用 | ||||||||||
C.市场 | 附录-报价和上市详情 | ||||||||||
D.出售股东 | 不适用 | ||||||||||
E.稀释 | 不适用 | ||||||||||
F.发行费用 | 不适用 | ||||||||||
10 | 附加信息 | ||||||||||
A.股本 | 不适用 | ||||||||||
B.组织章程大纲和章程细则 | 公司治理 | ||||||||||
C.重大合同 | 附录----材料合同 | ||||||||||
D.外汇管制 | 附录-外汇管制 | ||||||||||
E.税收 | 附录-荷兰税收 | ||||||||||
F.股息和支付代理 | 不适用 | ||||||||||
G.专家发言 | 不适用 | ||||||||||
H.展示文件 | 附录-展出的文件 | ||||||||||
一、附属信息 | 不适用 | ||||||||||
J.提交证券持有人的年度报告 | 不适用 | ||||||||||
11 | 关于市场风险的定量和定性披露 | 附注16长期债务和利息及其他费用 | |||||||||
附注25财务风险管理 | |||||||||||
12 | 股本证券以外的其他证券的说明 | 附录-报价和上市详情 | |||||||||
第二部分 | |||||||||||
13 | 违约、股息拖欠和拖欠 | 无 |
项目 | 表格20-f标题 | 本文档中的位置 | 页 | ||||||||
14 | 对证券持有人权利和收益使用的重大修改 | 无 | |||||||||
15 | 控制和程序 | 附录-控制和程序 | |||||||||
16a | 审计委员会财务专家 | 监事会报告-监事会委员会-审计委员会 |
|||||||||
16b | Code of Ethics | 负责任的商业----商业道德和行为守则 | |||||||||
16C | 首席会计师费用及服务 | 附录-首席会计师费用和服务 | |||||||||
16D | 审计委员会上市准则的豁免 | 不适用 | |||||||||
16E | 发行人和关联购买者购买股本证券的情况 | 附注22股东权益 | |||||||||
16F | 注册人核证会计师的变更 | 无 | |||||||||
16G | 公司治理 | 公司治理–遵守公司治理要求–美国上市要求 | |||||||||
16小时 | 地雷安全披露 | 不适用 | |||||||||
16i | 关于防止检查的外国管辖权的披露 | 不适用 | |||||||||
第三部分 | |||||||||||
17 | 财务报表 | 不适用 | |||||||||
18 | 财务报表 | 合并财务报表 | |||||||||
19 | 附件 | 附件指数 |
ASML2022年年度报告
|
定义 | 战略报告 | 治理 | 金融 |
309
|
|||||||||||||||
定义 |
姓名 | 说明 | |||||||
0-9 | ||||||||
3TG | 锡、钽、钨和金 | |||||||
3D Nand | 一种非易失性闪存,其中存储单元在多层中垂直堆叠。 | |||||||
A | ||||||||
A & M | 接入和移动 | |||||||
ABC合规审查 | 反贿赂和反腐败合规审查 | |||||||
ADAS | 高级驾驶辅助系统 | |||||||
ADI | 开发后检查 | |||||||
原子弹 | 荷兰金融市场管理局(Autoriteit Financi ë le Markten) | |||||||
年度股东大会 | 年度股东大会 | |||||||
人工智能 | 人工智能 | |||||||
爱奥特 | 人工智能 | |||||||
年度报告 | 表格20-f的周年报告 | |||||||
应用材料 | 半导体设备公司 | |||||||
ARCNL | 纳米光刻高级研究中心 | |||||||
Arf | 氟化氩 | |||||||
ArFi | 氟化氩浸泡 | |||||||
ASC | 会计准则编纂 | |||||||
ASC 740 | 会计准则编纂所得税准备金 | |||||||
ASML | ASML Holding N.V.和/或其任何子公司 | |||||||
ASML基金会 | 一个独立的慈善机构,与ASML有密切的联系,支持针对ASML运营地区4至18岁弱势青少年的教育计划。 | |||||||
B | ||||||||
巴帕 | 双边预先定价协议 | |||||||
节拍 | 税基侵蚀和反滥用税 | |||||||
大数据 | 可以通过计算进行分析以揭示模式、趋势和关联的超大数据集。 | |||||||
四大会计师事务所 | 德勤、安永、毕马威和普华永道 | |||||||
博姆 | 管理委员会 | |||||||
炸弹 | Brabantse Ontwikkelings Maatschappij |
姓名 | 说明 | |||||||
Brainport埃因霍温 | 荷兰南部的一个技术区,由公司、教育机构和政府组织组成。 | |||||||
布里姆 | 建筑研究设施环境评估方法 | |||||||
布里昂 | Brion技术公司。 | |||||||
C | ||||||||
复合年增长率 | 年复合增长率 | |||||||
佳能 | 卡农Kabushiki Kaisha | |||||||
资本支出 | 资本支出,定义为不动产、厂场和设备的增加加上无形资产的增加加上使用权资产的增加(经营和财务)。 | |||||||
资本资源 | 金融、制造、知识、人、社会和关系,以及用于生产商品和服务的自然因素。 | |||||||
卡尔·蔡司SMT | 卡尔·蔡司SMT有限公司 | |||||||
现金转换率 | 控制中的一种经济统计数据,它表示现金流与净利润之间的关系。 | |||||||
CCIP | 客户共同投资计划 | |||||||
CCPA | 加州消费者隐私法(美国) | |||||||
CCR % | 现金兑换率百分比 | |||||||
光盘 | 关键维度 | |||||||
CDP | 碳披露项目 | |||||||
首席执行官 | 首席执行官 | |||||||
CERN | 欧洲核研究组织 | |||||||
CFO | 首席财务官 | |||||||
CGU | 现金产生单位 | |||||||
CGU ASML | ASML,不包括CGU赛默光源 | |||||||
芯片和科学法案 | 2022年《创造有益的半导体和科学生产激励措施法案》(CHIPS法案)于2022年8月签署成为法律,旨在提高美国的竞争力、创新和国家安全。 | |||||||
CISO | 首席信息安全官 | |||||||
Cit | 企业所得税 | |||||||
CLA | 集体劳动协议 | |||||||
洁净室 | 晶圆厂的中心部分,晶圆厂在这里加工晶圆片,仔细控制环境以消除粉尘和其他污染物。 | |||||||
CMD | 资本市场日 | |||||||
首席营销官 | 首席营销官 |
ASML2022年年度报告
|
定义继续
|
战略报告 | 治理 | 金融 |
310
|
|||||||||||||||
定义(续) |
姓名 | 说明 | |||||||
CMOS | 互补金属–氧化物半导体 | |||||||
公司2
|
二氧化碳 | |||||||
代码 | 荷兰公司治理守则 | |||||||
行为守则 | 道德和行为守则 | |||||||
公司 | ASML Holding N.V. | |||||||
计算光刻 | 使用强大的算法和计算机建模的制造过程,通过有意变形,以补偿光刻和图案化过程中发生的物理和化学效应,优化刻版图案。 | |||||||
COO | 首席运营官 | |||||||
科索 | 特雷德韦委员会赞助组织委员会 | |||||||
新冠肺炎 | 2019年冠状病毒病 | |||||||
CRC | ASML公司风险委员会 | |||||||
CRE | ASML公司房地产部 | |||||||
CRMC | 资本研究与管理公司 | |||||||
CSRD | 公司可持续发展报告指令 | |||||||
CTO | 首席技术干事 | |||||||
Cyber Weerbaarheidscentrum Brainport | 埃因霍温地区Brainport基金会,为高科技和制造业公司提供加强防范网络犯罪的机会 | |||||||
Cymer | Cymer Inc.、Cymer LLC及其子公司 | |||||||
D | ||||||||
D & E | 开发和工程 | |||||||
德弗拉 | ||||||||
德勤 | Deloitte Accountants B.V。 | |||||||
D & I | 多样性和包容性 | |||||||
DJSi | 道琼斯可持续发展指数 | |||||||
Dram | 动态随机存取存储器 | |||||||
DUV | 深紫外线 | |||||||
E | ||||||||
EAC | 能源属性证书 | |||||||
息税前利润 | 息税前利润 |
姓名 | 说明 | |||||||
EHS | 环境、健康和安全 | |||||||
EHS能力中心 | ASML内的一个小组,负责定义EHS标准、收集最佳实践并帮助管理人员实施这些标准。 | |||||||
Eim | 外部接口模块 | |||||||
欧洲、中东和非洲 | 欧洲、中东和非洲 | |||||||
EMS | 环境管理系统 | |||||||
EPE | 边缘放置错误 | |||||||
每股收益 | 每股收益 | |||||||
ERM | 企业风险管理 | |||||||
ERP | 企业资源规划系统 | |||||||
欧空局 | 欧洲航天局 | |||||||
电子扫描 | ASML的电子束晶片检测系统系列,用于靶向型在线缺陷检测。 | |||||||
ESG | 环境、社会和治理 | |||||||
ESG评分 | 一种针对信用评级决策中使用的环境、社会和治理(ESG)因素的综合评分系统。 | |||||||
ETR | 实际税率 | |||||||
欧盟 | 欧洲联盟 | |||||||
欧盟国际财务报告准则 | 欧洲联盟通过的国际财务报告准则 | |||||||
欧元 | 欧元银行同业拆借利率 | |||||||
欧洲债券 | 以欧元计价的债券 | |||||||
Euroclear Nederland | 荷兰Central Securities保管处(Nederlands Centraal Instituut voor Giraal Effectenverkeer B.V.) | |||||||
阿姆斯特丹泛欧交易所 | 阿姆斯特丹泛欧交易所。 | |||||||
EUV | 一种使用波长为13.5纳米的极紫外光的光刻技术。这是目前光刻技术的前沿,可实现16纳米及以上的技术节点。它只用于具有最小特征的最关键的层。 | |||||||
执行副总裁 | 执行副总裁 | |||||||
执行副总裁 | 人力资源和组织执行副总裁 | |||||||
交易法 | 1934年美国证券交易法 | |||||||
执行委员会 | 执行委员会 | |||||||
F | ||||||||
晶圆厂 | 半导体制造厂 |
ASML2022年年度报告
|
定义继续
|
战略报告 | 治理 | 金融 |
311
|
|||||||||||||||
定义(续) |
姓名 | 说明 | |||||||
快速出货 |
快速的装运过程跳过了我们工厂的一些测试。最后测试和正式验收随后在客户现场进行。这导致这些出货量的收入确认推迟到正式的客户接受,但确实为我们的客户提供了更早的晶圆输出能力
|
|||||||
常见问题 | 常见问题 | |||||||
农场用品 | 我们作为共同投资者与之合作的供应商 | |||||||
脂肪 | 工厂验收测试 | |||||||
外国直接投资 | 外国衍生的无形收入 | |||||||
特点 | 构成微芯片给定层的图案的元素 | |||||||
FFHA | 医院艺术基金会 | |||||||
惠誉 | 为全球资本市场提供信用评级、评论和研究的领先供应商 | |||||||
闪光 | 一种用于存储和传输信息的非易失性存储器 | |||||||
铸造厂 | 逻辑芯片的合同制造商 | |||||||
弗劳恩霍夫 | 德国应用研究组织 | |||||||
FTE | 全职等效人员 | |||||||
FTSE4Good | 富时集团于2001年推出的一系列道德投资股票市场指数。 | |||||||
G | ||||||||
G种子 | 能源和环境设计绿色标准 | |||||||
公认会计原则 | 公认会计原则 | |||||||
国内生产总值 | 国内生产总值 | |||||||
GDPR | 一般数据保护条例 | |||||||
GeSi | 全球电子可持续发展倡议 | |||||||
GHG | 温室气体 | |||||||
吉尔蒂 | 全球无形低税收入 | |||||||
GPU | 图形处理单元 | |||||||
格里 | 全球报告倡议 | |||||||
GRI标准 | GRI可持续性报告标准 | |||||||
H | ||||||||
H2 | 分子氢 | |||||||
硬盘 | 硬盘驱动器 | |||||||
HMI | ASML系列电子束(e-beam)晶圆检测和计量系统的商标名称 |
姓名 | 说明 | |||||||
整体光刻 | 通过将光刻系统与计算建模和晶圆计量解决方案集成以实时分析和控制制造过程,我们的方法可优化整个微芯片打印过程,并在芯片技术中实现负担得起的缩放 | |||||||
地平线欧洲方案 | 促进合作并加强研究和创新在制定、支持和执行欧盟政策方面的影响的公私伙伴关系,同时应对全球挑战 | |||||||
人力资源和O | 人力资源与组织 | |||||||
HTSC | 高科技系统中心 | |||||||
惠斯曼 | 豪斯曼设备公司 | |||||||
暖通空调 | 供暖、通风和空调 | |||||||
I | ||||||||
IAS | 国际会计准则 | |||||||
IBM | 已安装的基础管理 | |||||||
集成电路 | 集成电路 | |||||||
信息和通信技术 | 信息和通信技术 | |||||||
ID2PPAC | 为满足功率性能领域和成本要求的2nm节点集成工艺和模块 | |||||||
IDM | 集成设备制造商 | |||||||
国际财务报告准则 | 国际财务报告准则 | |||||||
内部控制----2013年综合框架 | 特雷德韦委员会赞助组织委员会发布的标准。 | |||||||
知识产权 | 知识产权 | |||||||
爱尔兰共和军 | 2022年降低通胀法 | |||||||
IIRC | 国际综合报告理事会 | |||||||
I-REC | 国际Renewable能源证书 | |||||||
IRS | 美国国内税务局 | |||||||
i线 | 波长为365nm的光,由汞蒸气灯产生,用于某些光刻系统 | |||||||
国际劳工组织 | 国际劳工组织 | |||||||
成像 | 利用光将图案转移到晶片上的光刻胶上 | |||||||
imec | Interuniversitair Micro-Elektronica Centrum | |||||||
浸没式光刻 | 一种光刻技术,利用透镜和晶片之间的一池超纯水来增加透镜的数值孔径(收集和聚焦光线的能力)。这提高了光刻系统的分辨率和聚焦深度。 |
ASML2022年年度报告
|
定义继续
|
战略报告 | 治理 | 金融 |
312
|
|||||||||||||||
定义(续) |
姓名 | 说明 | |||||||
纳入指数 | 总体得分与we @ ASML调查中包含的与‘包容’具体相关的问题相关 | |||||||
英特尔 | 英特尔公司 | |||||||
物联网(IoT) | 嵌入传感器、执行器、电子设备和软件的物理对象网络,允许对象收集和交换数据 | |||||||
IT2 | 2nm节点集成电路技术(欧盟项目) | |||||||
知识产权 | 知识产权 | |||||||
伊索 | 国际标准化组织 | |||||||
J | ||||||||
JG + 13 | 工作等级13及以上 | |||||||
摩根大通 | 总部位于美国的全球金融服务领导者,为世界上最重要的公司、政府和机构提供解决方案 | |||||||
K | ||||||||
KLA-Tencor | KLA-Tencor公司 | |||||||
KPI | 关键业绩指标 | |||||||
毕马威 | 毕马威会计师事务所。 | |||||||
K-Reach | 韩国化学品登记和评估法 | |||||||
Krf | 氟化氪 | |||||||
千吨 | 千吨或1,000吨(1吨=质量单位等于1,000公斤) | |||||||
kWh | 千瓦时 | |||||||
L | ||||||||
LED | 发光二极管 | |||||||
李德 | 能源和环境设计领域的领导地位 | |||||||
LGBTQia + | 女同性恋、男同性恋、双性恋、变性者、酷儿、双性人、无性和其他身份 | |||||||
伦敦银行同业拆借利率 | 伦敦银行同业拆借利率 | |||||||
光刻 | 光刻或光刻是微芯片制造中的一种工艺,它使用光对硅晶片上的部件进行图案化。 | |||||||
逻辑 | 集成设备,如微处理器、微控制器和GPU。也指制造这种装置的公司。 | |||||||
LTI | 长期激励 | |||||||
LXP | 学习体验平台 | |||||||
M | ||||||||
工商管理硕士 | 工商管理硕士 |
姓名 | 说明 | |||||||
记忆 | 存储信息的微芯片,如NAND Flash和DRAM。也指制造这种芯片的公司。 | |||||||
Metalektro | 多雇主工会计划由PME(Stichting Pensioenfonds van de Metalektro)管理。 | |||||||
计量 | 度量和量度的科学或量度的科学。 | |||||||
毫米 | 毫米(千分之一米) | |||||||
MNP | Make Next Platform | |||||||
穆迪 | 提供企业评级的美国信用评级机构。 | |||||||
议员 | 成熟产品和服务 | |||||||
MSCI | 摩根士丹利资本国际 | |||||||
山 |
兆吨,公制单位相当于100万(106)吨,或10亿(109)公斤
|
|||||||
兆瓦 |
兆瓦,相当于一百万的公制单位(106)瓦
|
|||||||
N | ||||||||
不适用 | 数值孔径 | |||||||
Nand | 一种二进制逻辑运算符,当它接收到一个或没有输入时给出一个输出;一个‘不和’的组合。 | |||||||
纳米级 | 纳米级(或纳米级)通常指具有适用于纳米技术的长度尺度的结构,通常被称为1 – 100纳米。 | |||||||
纳斯达克 | 纳斯达克股票市场有限责任公司 | |||||||
净预订量 | 净预订包括所有系统销售订单和与通货膨胀有关的调整,已接受书面授权。 | |||||||
净零排放 | 达到净零排放状态包括:(a)将范围1、2和3的排放量减至零,或减至与在符合条件的1.5° C假设情景或部门路径中在全球或部门一级实现净零排放相一致的残余水平;(b)在净零目标日期中和任何残余排放量以及此后释放到大气中的任何GHG排放量。 | |||||||
非政府组织 | 非政府组织 | |||||||
尼特 | 净投资所得税 | |||||||
尼康 | 尼康公司 | |||||||
NL | 荷兰 | |||||||
纳米 | 纳米(十亿分之一米) | |||||||
节点 | 这是芯片制造行业向更小的功能和更先进的微芯片发展的路线图中的一个垫脚石,它描述了几代半导体制造技术以及用它们制造的芯片,并使之与众不同。“尺寸更小”的节点指的是更先进的技术。 | |||||||
非公认会计原则 | 公司的历史或未来的财务业绩、财务状况或现金流量,这些都不是按照最具可比性的公认会计原则来计算或列报的。 |
ASML2022年年度报告
|
定义继续
|
战略报告 | 治理 | 金融 |
313
|
|||||||||||||||
定义(续) |
姓名 | 说明 | |||||||
国家公共电台 | 与产品无关 | |||||||
NRE | 非经常性工程 | |||||||
NXE | 用于EUV光刻的独创TWINSCAN系统平台 | |||||||
NXT | 原始TWINSCAN系统平台的增强版本,提供显著改进的覆盖和生产力 | |||||||
O | ||||||||
OCI | 其他综合收益 | |||||||
ODM | 原始设计制造商 | |||||||
经合组织 | 经济合作与发展组织 | |||||||
代加工 | 原始设备制造商 | |||||||
One | ASML的新企业计划,旨在改进我们的业务流程和IT企业管理系统 | |||||||
运营员工 | 客户支持和制造及供应链管理雇员 | |||||||
叠加 | 芯片结构的层对齐 | |||||||
P | ||||||||
损益 | 损益表 | |||||||
考绩 | 飞利浦自动步进器 | |||||||
图案保真 | 在晶圆上复制所需图样的整体度量 | |||||||
模式保真控制 | 一种旨在提高整体产量的整体方法来控制大批量制造先进微芯片的整个过程。它从生产设备和计算光刻工具中提取数据,并通过机器学习等技术进行分析,以提供实时反馈。 | |||||||
图案化 | 在表面上创建图案以构建微芯片的过程 | |||||||
PCAOB | 上市公司会计监督委员会 | |||||||
全氟辛烷磺酸 | 全氟烷基化学品 | |||||||
PGP | 产品生成过程 | |||||||
飞利浦 | 健康技术公司,总部设在荷兰 | |||||||
PHLX指数 | 半导体板块指数 | |||||||
Pin3S | 3nm半导体技术集成试点 | |||||||
PI | 业绩指标 | |||||||
PME | Bedrijfstakpensioenfonds Metalektro | |||||||
优先股基础 | Stichting Preferente Aandelen ASML |
姓名 | 说明 | |||||||
优先股期权 | 获得我国资本中累积优先股的选择权 | |||||||
Q | ||||||||
问答 | 问答 | |||||||
QLTCS | 质量、物流、技术、成本和可持续性 | |||||||
R | ||||||||
研发 | 研究与开发 | |||||||
RBA | 负责任的商业联盟 | |||||||
遥控 | ASML薪酬委员会 | |||||||
到达 | 化学品的登记、评价、核准和限制 | |||||||
可回收量 | 资产的公允价值减去出售成本及其使用价值后的较大者 | |||||||
雷马 | EUV掩蔽模块 | |||||||
薪酬政策 | 适用于ASML Holding N.V.管理委员会的薪酬政策 | |||||||
Reticle | 包含每次曝光时要转移到晶片上的特征图案的平板。 | |||||||
罗艾克 | 平均投资资本回报率 | |||||||
RoHS | 危险物质的限制 | |||||||
S | ||||||||
标普 | 标准普尔,美国的股票指数,由于其广泛的组成,给出了美国股票市场发展的可靠图景。 | |||||||
三星 | 三星电子公司 | |||||||
萨克 | 自我评估问卷 | |||||||
萨班斯-奥克斯利法案 | 2002年《萨班斯-奥克斯利法案》 | |||||||
萨特 | 现场验收测试 | |||||||
某人 | ASML的监事会 | |||||||
SBTi | 基于科学的目标倡议 | |||||||
范围1公司2e排放量
|
组织拥有或控制的资源直接排放的二氧化碳 | |||||||
范围2公司2e 排放量
|
由于一个组织所消耗的能源而产生的间接二氧化碳排放 | |||||||
范围3公司2e排放量
|
组织价值链中发生的所有其他间接二氧化碳排放 | |||||||
范围3二氧化碳排放强度 | 组织价值链中发生的所有其他间接二氧化碳排放,以收入或毛利润的百分比表示 | |||||||
可持续发展目标 | 联合国可持续发展目标 |
ASML2022年年度报告
|
定义继续
|
战略报告 | 治理 | 金融 |
314
|
|||||||||||||||
定义(续) |
姓名 | 说明 | |||||||
美国证券交易委员会 | 美国证券交易委员会 | |||||||
赛米 | 半导体设备和材料国际 | |||||||
赛米S2 | SEMI S2 –《安全准则》,《半导体制造设备环境、健康和安全准则》,一套基于性能的半导体制造设备EHS考虑因素 | |||||||
赛米S23 | SEMI S23 –半导体制造设备所用能源、公用事业和材料的节约指南,收集、分析和报告耗能半导体制造设备公用事业数据的指南 | |||||||
SG & A | 销售、一般和管理费用 | |||||||
收缩 | 为更先进的芯片开发更小的晶体管的过程。 | |||||||
智能光子学 | 集成光子电路的铸造 | |||||||
SoC | 芯片上的系统 | |||||||
SPE股东 | 一个由三家银行组成的银团,目的是租用ASML在维尔德霍芬的总部。 | |||||||
间谍 | 国际光学和光子学学会 | |||||||
S & SC | 采购和供应链 | |||||||
SSD | 固态硬盘 | |||||||
跳板040 | 埃因霍温的社会关怀组织向无家可归者提供支持和指导 | |||||||
SSRA | 安全风险评估 | |||||||
Stem | 科学、技术、工程和数学 | |||||||
STI | 短期激励 | |||||||
STR | 非盈利组织Stichting Technology Rating。 | |||||||
子晶圆厂 | 位于洁净室地板下的子晶圆厂包含辅助设备,如驱动激光器 | |||||||
斯沃特 | 优点、缺点、机会和威胁 | |||||||
T | ||||||||
Tapes3 | 3nm增强半导体中试线技术进展 | |||||||
TCFD | 气候相关披露问题工作队 | |||||||
TC | ASML技术委员会 | |||||||
台泥 | 现金补偿共计 | |||||||
TCFD | 与气候有关的财务披露问题工作队 | |||||||
TCJA | 减税和就业法案 | |||||||
贸易署 | 直接赔偿总额 |
姓名 | 说明 | |||||||
技术能力 | 技术专门知识在我国人民中的能力和传播情况,以及这些专门知识在我国进程和业务中的嵌入程度 | |||||||
NL泰雷兹 | 国际泰雷兹集团荷兰分部 | |||||||
吞吐量 | 系统每小时可处理的晶圆数 | |||||||
第1级(2,3)供应商 | 一级供应商是直接供应商,而二级、三级及以上是指我们供应商的供应商 | |||||||
TJ | 太焦耳(一万亿焦耳) | |||||||
TNO | Nederlandse Organisatie voor Toegepast Natuurwetenschappelijk Onderzoek(荷兰应用科学研究组织) | |||||||
晶体管 | 一种半导体器件,它是微芯片的基本组成部分 | |||||||
Tsca | 有毒物质控制法 | |||||||
台积电 | 台湾半导体制造有限公司。 | |||||||
股东总回报 | 股东总回报 | |||||||
Twinscan | ASML独特的光刻系统平台,具有两个完整的晶圆级,使一片晶片可以在被映射的同时另一片被曝光,从而实现更高的精度和吞吐量 | |||||||
U | ||||||||
联合国开发计划署 | 联合国指导原则 | |||||||
美国 | 美国 | |||||||
美国公认会计原则 | 美利坚合众国公认会计原则 | |||||||
美国ITC | 美国国际贸易委员会 | |||||||
V | ||||||||
范德兰德 | 一家位于荷兰的物料搬运和物流自动化公司 | |||||||
增值税 | 增值税 | |||||||
VIE | 可变利益实体 | |||||||
超大规模集成电路 | VLSI研究公司。 | |||||||
Vno-NCW | 荷兰工业和雇主联合会 | |||||||
伏安 | 挥发性有机化合物 | |||||||
副总裁 | 副总裁 | |||||||
VPA | 批量采购协议 | |||||||
VPC | 批量零件合同 | |||||||
W | ||||||||
WACC | 加权平均资本成本 | |||||||
晶圆检查 | 在晶圆上定位和分析单个芯片缺陷的过程 |
ASML2022年年度报告
|
定义继续
|
战略报告 | 治理 | 金融 |
315
|
|||||||||||||||
定义(续) |
姓名 | 说明 | |||||||
晶圆计量 | 测量晶片上图案质量的过程 | |||||||
废物强度 | 以百万公斤计的废物总量(不包括建筑垃圾)除以收入(以百万欧元计) | |||||||
波长 | 光等波的两个峰之间的距离。光刻系统中使用的光的波长越短,系统所能分辨的特征就越小。 | |||||||
网站 | www.asml.com | |||||||
什么 | 预扣税 | |||||||
工务委员会 | ASML工程委员会荷兰B.V。 | |||||||
wph | 每小时硅片 | |||||||
X | ||||||||
XTal | Xtal公司。 | |||||||
Y | ||||||||
YieldStar | ASML的基于衍射的晶圆计量平台 | |||||||
Z | ||||||||
蔡司 | 卡尔蔡司公司 | |||||||
ASML2022年年度报告
|
签名 | 战略报告 | 治理 | 金融 |
316
|
|||||||||||||||
签名 |
ASML2022年年度报告
|
展览指数 | 战略报告 | 治理 | 金融 |
317
|
|||||||||||||||
附件指数 |
附件编号 | 说明 | |||||||
1 | ||||||||
2.1 | ||||||||
4.1 | ||||||||
4.2 | ||||||||
4.3 | ||||||||
4.4 | ||||||||
4.5 | ||||||||
4.6 | ||||||||
4.7 | ||||||||
4.8 | ||||||||
4.9 | ||||||||
4.10 |
尼康公司、ASML Holding N.V.和Carl Zeiss SMT GmbH与Carl Zeiss AG就第3(b)2.2.1、3.8、6.3.3、6.6、10.6、10.6、10.8、10.14和10.15条签订的结算和交叉许可协议(参阅注册处截至2019年12月31日止财政年度表格20-F的年报)3
|
|||||||
4.11 |
附件编号 | 说明 | |||||||
8.1 | ||||||||
12.1 | ||||||||
13.1 | ||||||||
15.1 | ||||||||
101.INS |
xbrl实例文档2
|
|||||||
101.SCH |
xbrl分类法扩展模式文档2
|
|||||||
101.Cal |
xbrl分类法扩展计算linkbase文档2
|
|||||||
101.DEF |
xbrl分类法扩展定义linkbase文档2
|
|||||||
101.实验室 |
xbrl分类法扩展标签linkbase文档2
|
|||||||
101.前 |
xbrl分类法扩展演示linkbase文档2
|
|||||||
104 |
封面页交互式数据文件(格式为内联XBRL,包含在附件 101中)2
|